Influence of Alkalinity and Steric Hindrance of Lewis-Base Catalysts


Influence of Alkalinity and Steric Hindrance of Lewis-Base Catalysts...

3 downloads 76 Views 6MB Size

ARTICLE pubs.acs.org/JPCC

Influence of Alkalinity and Steric Hindrance of Lewis-Base Catalysts on Atomic Layer Deposition of SiO2 Shuang Chen,†,‡ Guoyong Fang,† Xu Qian,‡ Aidong Li,‡ and Jing Ma*,† †

Institute of Theoretical and Computational Chemistry, Key Laboratory of Mesoscopic Chemistry of MOE, School of Chemistry and Chemical Engineering, Nanjing University, Nanjing, 210093, People's Republic of China ‡ Department of Materials Science and Engineering, National Laboratory of Solid State Microstructures, Nanjing University, Nanjing, 210093, People's Republic of China

bS Supporting Information ABSTRACT: The effects of different Lewis-base catalysts, NC5H5, N(CH3)3, NH3, NH2CH3, and NH(CH3)2, on the possible reaction pathways in atomic layer deposition (ALD) of SiO2 are investigated by density functional theory (DFT) calculations on both single and double OH* surface models. The ALD of SiO2 undergoes successive self-terminating SiCl4 (A) and H2O (B) half reactions, respectively. On the basis of the double OH* surface model, the rate-limiting step is the first SiCl4 half reaction with an activation energy of about 29.0 kcal/ mol for the formation of the bridged SiCl2* group in the absence of catalysts. The activation energy of the subsequent H2O half reaction is slightly lower than that of the first half reaction. The selected Lewis-base catalysts can effectively catalyze both half reactions in the ALD growth of SiO2 through strong hydrogen bonding interaction with reaction substrates, with the activation energies of both half reactions reduced to about 1.314.6 kcal/mol. However, the desorption of byproduct in the H2O half reaction becomes the rate-determined step in the catalytic ALD process. The effects of alkalinity and steric hindrance of various catalysts on reaction pathways are tested. The catalytic activities of these Lewis bases are qualitatively correlated to their alkalities. As the pKa value increases, the adsorption of precursors becomes stronger and the activation energy decreases in both half reactions except N(CH3)3 with pKa = 9.8. The reactions catalyzed by N(CH3)3 with the largest spacing size are slightly blocked by its steric hindrance relative to those reactions catalyzed by NH3. In consideration of the neighboring OH* groups, the Lewis bases with relatively large steric hindrance could not effectively catalyze half reactions to form the bridged intermediates between two nearest-neighboring OH* groups. The compromise between alkalinity and steric hindrance of Lewis-base catalysts may be important for the rational design of effective catalysts for the low-temperature growth of metal oxides.

1. INTRODUCTION Although silicon dioxide (SiO2) is widely used as an optimal dielectric material in both silicon microelectronic and organic (opto)electronic devices, the thickness of the SiO2 film is desired to be reduced due to the leakage current problem. Among the possible fabrication technologies, atomic layer deposition (ALD)13 is a promising approach to grow large-area, thin, conformal, and uniform SiO2 films. The ALD cycle is realized by dividing the reaction SiCl4 þ 2H2 O f SiO2 þ 4HCl

ð1Þ

into self-terminating SiCl4 (A) and H2O (B) half reactions,4,5 as shown in Figure 1. During the first half reaction (A), the precursor SiCl4 reacts with surface OH* to produce the SiCl3* group (the asterisk designates the surface species throughout this paper), and the resulting SiCl3* group in some cases would react with the nearest-neighboring OH* group to r 2011 American Chemical Society

form the bridged SiCl2* group. In the subsequent half reaction (B), the H2O molecule reacts with surface SiCl3* and SiCl2* groups to reproduce the surface OH* group for the next ALD cycle. Successive application of the two half reactions in an (A)(B)(A)(B)... binary sequence leads to a layer-by-layer growth of SiO2 thin film with the controlled thickness. It was shown that the ALD growth of SiO2 can be achieved at the high temperature of 600800 K with the reactant pressure ranging from 1 to 50 Torr.4,5 The SiCl4 half reaction was proposed to go through a pathway from a SiCl4 physisorption state on the surface hydroxyl group to the products via a fourmembered ring (4MR) transition state (TS) involving the concurrent SiO and HCl bond formations and SiCl and OH bond cleavages,4 as shown by path a in Figure 2. Received: May 25, 2011 Revised: October 16, 2011 Published: October 19, 2011 23363

dx.doi.org/10.1021/jp2048663 | J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

ARTICLE

Figure 1. Illustration of atomic layer deposition (ALD) of SiO2, including two successive half reactions (A) and (B). The Lewis bases 15 are selected as the catalysts in ALD reactions, along with the parameters of relative alkalinity (pKa) and steric hindrance (s).

Figure 2. Schematic illustration of the possible reaction pathways of SiCl4 (A) and H2O (B) half reactions in ALD growth of SiO2. Path a indicates the noncatalyzed half reactions. Paths b and c indicate the half reactions catalyzed by the Lewis bases without and with exchangeable H atom, respectively.

To lower the deposition temperature to room temperature and to reduce the reactant flux, the Lewis bases, such as pyridine (NC5H5)68 and ammonia (NH3),911 have been used to catalyze the SiO2 ALD. The catalytic ability of pyridine was attributed to the formation of a hydrogen bond (HB) between pyridine and surface OH* in half reaction (A) or incoming H2O molecule in half reaction (B), which increases nucleophilicity of O atom and thus facilitates the attack on reactive sites.6 Similar to pyridine, the reactivity of the NH3-catalyzed SiO2 ALD was also attributed to strong hydrogen bonding interaction.10 The Fourier transform infrared (FTIR) investigations also indicated the strong hydrogen bonding interaction between Lewis-base catalysts (pyridine8 and NH311) and the surface OH* group or H2O molecule through the remarkable red shift of OH stretching vibration frequency. In addition, Klaus and George9 demonstrated that NH3 was much more effective than

pyridine as a catalyst for the SiCl4 half reaction (A) in SiO2 ALD. The excellent catalytic activity of NH3 was ascribed to the presence of exchangeable H atoms in the NH3 molecule, which were absent in pyridine.9 In a simplified picture, a highly strained 4MR structure was suggested in the pyridine-catalyzed TS (as shown by path b in Figure 2). In contrast, an energetically more favorable 6MR structure might be possible in the NH3-catalyzed TS (path c in Figure 2). Several theoretical works were devoted to study the reaction pathways of SiO2 ALD. Kang and Musgrave developed their own functional, KMLYP, to study reaction pathways of ALD of SiO2 without a catalyst on the Si(100)-2  1 surface.12 They found the rate-limiting step was the SiCl4 half reaction, in which resultant SiCl3* group reacts with a neighboring OH* to form bridged SiCl2 *, with an activation energy of 22.6 kcal/mol, in agreement with the experimental result (22 kcal/mol).13 23364

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

ARTICLE

Table 1. Comparison between Different Surface Models and Theoretical Levels for the Calculation of Activation Energy (ΔEa) between Im1-a and TS1-a of the Noncatalyzed SiCl4 Half Reaction and Corresponding Optimized Geometry Parameters

geometry ΔEa (kcal/mol) method

calcd

a

Im1-a

exptl

other calcd

r Si1 3 3 3 O1 (Å)

22.0b

23.1c

3.81 3.13

TS1-a

ωCl1Si1O1H1 (deg)

rSi1O1 (Å)

ωCl1Si1O1H1 (deg)

0.2 1.5

1.77 1.74

0.4 1.4

3.1

1.78

0.3

Model I B3LYP M062X

23.0 18.2

MP2//B3LYP

23.9 Model II

B3LYP

24.8

3.80

a

Calculations with the using the same basis set of 6-31G(d, p). b Experimental activation energy of the reaction of SiCl4 on silicon, taken from ref 13. c Computational activation energy of SiCl4 on Si9H12 cluster by using MP2 single-point calculations based on the HartreeFock optimized structures, taken from ref 14.

Figure 3. Reaction energies and stationary points along the pathways of (a) non-, (b) pyridine-, and (c) NH3-catalyzed SiCl4 (A) and H2O (B) half reactions. Re, Im, TS, and Pr indicate the reactants, reaction intermediate, transition state, and products, respectively.

A chemically adsorption complex was located along the reaction pathway of the SiCl4 half reaction. The adsorption and activation

energies of pyridine-catalyzed SiO2 ALD on the Si(001) surface were also estimated from MP2 (MøllerPlesset perturbation) 23365

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

ARTICLE

Table 2. Adsorption Energies and Hydrogen Bonding Interactions between Lewis Bases and Reaction Substrates during the Adsorption of Precursors in Both Half Reactions

hydrogen bonding qO Eada (kcal/mol)

r Si 3 3 3 O (Å)

stabilization energy nN f σ*OH (kcal/mol)

(e)

hybrid of N

rOH

r H3 3 3N

— OHN

(Å)

(Å)

(deg)

SiCl4 Half Reaction (A) 1.1

3.81

1.11

pyridine (1)

11.1

3.73

1.15

29.4

sp2.6

1.00

1.76

175.3

N(CH3)3 (2)

11.2

3.86

1.15

30.4

sp5.1

1.01

1.71

176.7

NH3 (3)

11.9

3.71

1.16

36.9

sp3.5

1.00

1.73

177.1

NH2CH3 (4)

12.4

3.70

1.16

38.8

sp4.0

1.01

1.70

175.0

NH(CH3)2 (5)

12.2

3.37

1.16

36.5

sp4.5

1.01

1.69

177.6

without a catalyst

1.8

3.64

0.95

pyridine (1)

8.1

3.42

0.99

14.1

sp2.6

0.98

1.94

154.5

N(CH3)3 (2)

8.1

3.34

0.99

15.9

sp5.3

0.95

1.89

166.0

NH3 (3)

8.5

3.25

0.99

22.1

sp3.3

0.98

1.89

172.3

NH2CH3 (4)

9.0

3.27

0.99

21.6

sp3.9

0.99

1.88

172.3

NH(CH3)2 (5)

8.7

3.29

0.99

19.1

sp4.6

0.99

1.89

171.6

without a catalyst

0.96

Group I (without exchangeable H atom)

Group II (with exchangeable H atom)

H2O Half Reaction (B) 0.97

Group I (without exchangeable H atom)

Group II (with exchangeable H atom)

a

Adsorption energy defined as Ead = Eadsorption complex  (Eprecursor + Ebase + Esubstrate).

single-point calculations on the HartreeFock-optimized geometries using a simplified model with the replacement of pyridine by ammonia.14 They attributed the reduced growth temperature in catalyzed reaction to the downward shift of the activation energy according to the transition-state theory.14 Despite these advances in experiments and theoretical calculations of SiO2 ALD reactions, the influence of various Lewis-base catalysts on the reaction pathways has not been systematically explored yet. How does the alkalinity of Lewis bases affect catalytic reactivity and hydrogen bonding interactions? In addition, the steric hindrance of surface species may block the surface OH* groups to completely react in the SiCl4 half reaction.8 Will the steric hindrance also affect the catalytic reactions? In order to answer these questions, five Lewis bases 15 are selected in the present work (Figure 1). Among them, pyridine (1)68 and NH3 (3)911 have been investigated extensively,

three other Lewis catalysts, N(CH3)3 (2), NH2CH3 (4), and NH(CH3)2 (5), with high alkalities15 and different substituent sizes, are introduced to test the influence of alkalinity and steric hindrance of Lewis bases on their catalytic abilities. These Lewis bases can also be classified into two groups, group I (including pyridine (1) and N(CH3)3 (2)) and group II (containing NH3 (3), NH2CH3 (4), and NH(CH3)2 (5)), depending on having the exchangeable H atom or not. For all the selected Lewis-base catalysts, the SiCl4 and H2O half reaction pathways are surfed by using density functional theory (DFT) calculations. It will be demonstrated that the catalytic reactivity of Lewis bases is dependent on both alkalinity and steric hindrance. The role of hydrogen bonding interaction and exchangeable H atom in the catalytic reactions is also addressed. The insights into the effect of Lewis-base catalysts are helpful to design more effective catalysts for ALD growth of SiO2 and metal oxides. 23366

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

2. COMPUTATIONAL DETAILS Similar to the previous study,16,17 the cluster model truncated from the bulk surface (cf. Figure 1), was used in DFT (B3LYP/6-31G(d, p)) calculations to study SiCl4 and H2O half reactions, respectively. All the calculations were carried out within the Gaussian09 program.18 The frequency calculations were run on the optimized stationary points. All the energies reported here include the zero-point energy (ZPE) corrections. Intrinsic reaction coordinate (IRC)19 calculations or BornOppenheimer molecular dynamic (BOMD)20,21 simulations were also performed to survey the possible reaction pathway connecting reactant (Re) to product (Pr) via transition state (TS). Before the systematic study on possible pathways for various Lewis-base catalyzed reactions, the influence of surface models and various functionals of DFT on the computational results was tested. Taking the noncatalyzed SiCl4 reaction (path a) as an example, the calculation results of energy barriers and geometry parameters of intermediate (Im1-a) and transition state (TS1-a) are listed in Table 1. Influence of Surface Model. In order to test the influence of cluster size on the estimated activation energy, we used two kinds of surface models, the minimal (H3SiO)3SiOH cluster (surface model I), and an enlarged ((HO)3SiO)3SiOH one (surface model II). The activation energy obtained by using the larger model is 24.8 kcal/mol (Table 1), about 2 kcal/mol higher than that based on model I. The geometries of reactive sites in Im1-a and TS1-a obtained from these two surface models are also similar to each other, as shown in Table 1. To save the computational cost, we use model I (single OH* model) to carry out systematic calculations on the selected Lewis-base-catalyzed SiCl4 and H2O half reactions. The double OH* model (D1) will be also introduced in subsection 3.3 to show the influence of the neighboring OH* group on the reaction pathways. Comparison of Density Functionals. DFT method, furnished with many kinds of functionals, has been widely used in theoretical study of various reaction pathways. Especially, the B3LYP functional predominates the applications to a wide range of chemical systems. For example, B3LYP has been used to explore the reaction pathways in ALD growth of high k dielectric materials, such as Al2O3,22 TiO2,16,23 ZrO2,24 and HfO2,25,26 and so on. Recently, the M06-2X functional, developed by Zhao and Truhlar,27 has received growing attention in main-group thermochemistry, reaction energies, kinetics, noncovalent interactions, and even excited states. Here, both B3LYP and M06-2X functionals were employed in the study of the SiCl4 half reaction. More sophisticated MP2 calculations were also carried out for comparisons. As shown in Table 1, the activation energy follows the order of 23.9 kcal/ mol (obtained from MP2) ≈ 23.0 kcal/mol (B3LYP) > 18.2 kcal/mol (M06-2X). All of these reaction energies are in agreement with the experimental value (22.0 kcal/mol)13 and previous MP2//HF calculations (23.1 kcal/mol)14 with double ζ basis sets and diffuse functions. One can also find from Table 1 that M06-2X predicts a smaller Si 3 3 3 O distance (3.13 Å) in Im1-a than B3LYP does (3.81 Å), probably due to the different treatment of noncovalent interactions by M06-2X. In the following subsections, we adopt the B3LYP functional to study possible reaction pathways in catalytic SiO2 ALD.

ARTICLE

Figure 4. Simulated infrared vibrational frequency (cm1) of the νOH stretching vibration model in the physisorption complex of SiCl4 on surface OH* group. The red shifts of νOH caused by the hydrogen bonding interaction between the Lewis base and the surface OH* are tabulated in inset.

3. RESULTS AND DISCUSSION 3.1. Reaction Pathways on Single OH* Model: Noncatalyzed vs Catalyzed Reactions. For ALD growth of SiO2 films

(Figure 1), the gaseous precursors react with the surface functional groups in each half reaction. In the first half reaction (A), the SiCl4 molecule reacts with the surface OH* group to produce the SiCl3* group. Subsequently, the incoming H2O molecule in the second step reacts with the SiCl3* group to regenerate the surface OH* group for the next (A)(B) cycle. Possible reaction pathways of those two half reactions in the absence or presence of the Lewis-base catalyst are schematically illustrated in Figure 2. The detailed results of the relative energies (to the corresponding reactants) and geometries of stationary points in noncatalyzed (path a) and pyridine- (path b), and NH3-catalyzed (path c) reactions are shown in Figure 3 and in Table S1 and Table S2 of the Supporting Information. The calculation results of N(CH3)3-, NH2CH3-, and NH(CH3)2-catalyzed reactions are also collected in Figure S1, Table S1, and Table S2 (Supporting Information). Each half reaction in SiO2 ALD undergoes three main steps, adsorption of precursor, ligand-exchange reaction, and desorption of byproduct. As shown in path a of Figure 3, the SiCl4 half reaction is the rate-limiting step for the noncatalyzed SiO2 ALD with the activation energy of about 23.0 kcal/mol, in line with the previous theoretical calculations.12 The activation energy of the H2O half reaction (about 21.5 kcal/mol) is a little lower than that of the SiCl4 half reaction. In addition, the adsorption of precursor is quite weak without much energy released, and the desorption of byproduct is very easy and it costs only a small amount of energy (less than 4 kcal/mol). By addition of the Lewis-base catalysts, 15, the activation barriers along paths b and c decrease significantly, in comparison with the noncatalyzed reactions (path a). Potential energy surfaces (PES) of each catalyzed half reaction (paths b and c in Figure 3) are quite smooth. Sometimes, it is difficult to locate corresponding stationary points. Thus, we resort to the restrained BOMD simulations to locate a certain stationary point connecting reaction pathways, with the results shown in Figure S2 of the Supporting Information. The catalytic SiCl4 half 23367

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

ARTICLE

Figure 5. Adsorption, activation, and desorption energies of physisorption complexes in SiCl4 (A) and H2O (B) half reactions as the functions of pKa and steric factor, s, of different Lewis-base catalysts.

reactions still have slightly larger activation energies (6.78.9 kcal/mol) than the H2O half ones (1.32.0 kcal/mol), in coincidence with the experiments.6,28 After introduction of the Lewis-base catalysts, however, the desorption energy (8.514.6 kcal/mol) in the H2O half reaction is larger than the corresponding activation energy, making the removal of byproduct a ratelimiting step. This may be ascribed to strong interaction between the reproduced surface OH* groups and the byproducts (as addressed in subsection 3.2). Although the desorption of byproduct is exothermic at 0 K, it is still difficult to remove the byproduct from the surface. In experiments, a hyperthermic treatment was used to pump the pyridinium or NH4Cl salt to reactivate the SiO2 surface.8,9 It is also interesting to look into the difference in geometries of stationary points between the noncatalyzed (path a) and the Lewis-base-catalyzed (paths b and c) reactions. In path a, the reaction is initiated by the adsorption of precursor onto the surface functional group to form a physisorption complex (Im1-a in half reaction (A) or Im3-a in half reaction (B)). The Si 3 3 3 O distances are 3.81 and 3.64 Å for SiCl4 and H2O half reactions, respectively. The previous theoretical study on ALD growth of

SiO2 on the silicon (100)-2  1 surface suggested that the SiCl4 first forms a σ-bond with the O atom of the surface hydroxyl.12 In fact, there is a nearly barrierless transformation from the physisorption to chemisorption complex along the reaction pathway. Such a process is hard to be followed in our B3LYP calculations. In TS1-a or TS2-a, a new SiO bond is formed, taking a nearly coplanar 4MR structure. Simultaneously, the SiCl bond is elongated to 2.62 (in TS1-a) and 2.61 Å (in TS2-a), respectively, and the HCl bond is gradually formed. The adsorption state of byproduct is then formed with rather long O 3 3 3 H distance of 2.17 (in Im2-a) and 1.92 Å (in Im4-a), respectively, so that the byproduct, HCl, can be easily removed. Paths b and c, shown in Figures 2 and 3, have similar transition state structures at the initial (TS1-b,c in half reaction A, TS4-b,c in half reaction B) and final (TS3-b,c in SiCl4 half reaction, TS7b,6-c in H2O half reaction) stages in each catalytic half reaction, but these two paths may have some difference in the middle stage. In the case of path b, for the catalysts without exchangeable H atom (group I), the reactive sites exhibit the 4MR structures in TS2-b (SiCl4 half reaction) and TS6-b (H2O half reaction). For the catalysts with exchangeable H atom (group II, path c), it is 23368

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

Figure 6. The comparison of the neighboring O 3 3 3 O distances between the double OH* surface models and the statistical distribution of O 3 3 3 O distance on the amorphous SiO2 surface obtained from the 1 ns molecular dynamic (MD) simulation (CVFF and NVT ensemble).

possible for the exchangeable H1 atom to react with the “leaving” Cl atom, and the H2 atom of surface OH* group may transfer from O atom of OH* to N atom of the catalyst in TS2-c (SiCl4 half reaction) or TS5-c (H2O half reaction) with the 6MR structure. It should be mentioned that the Lewis bases in group II may also go through the similar reaction pathways to group I. It has already been noticed that the key of Lewis-basecatalyzed SiCl4 half reactions lies in that the formation of HB between the Lewis base and the surface OH* group, increasing the nucleophilicity of O atom for an easier attack to the electrondeficient Si atom of the incoming SiCl4 molecule. As expected, the Si 3 3 3 O distance in physisorption complex (Im1-b,c, Im10b, Im9-c, or Im13-c) of the catalyzed SiCl4 reaction is relatively shorter than that of the noncatalyzed one (3.81 Å) except N(CH3)3. The dependence of the Si 3 3 3 O distance on alkalinity and steric hindrance of Lewis bases will be further addressed in subsection 3.2. After formation of the physisorption complex, the HB-bounded transition state (TS1-b,c, TS8-b, TS7-c, or TS9-c) is reached. This is an early transition state, whose geometry is close to that of the initial intermediate (Im1-b,c, Im10-b, Im9-c, or Im13-c). In these TSs, the two-center σSiO bond tends to form, although the Si 3 3 3 O distance is still larger than the equilibrium bond length (1.83 Å). The order of Si 3 3 3 O distance follows NH3 (1.98 Å) < pyridine (2.01 Å) < N(CH3)3 (2.16 Å) < NH2CH3 (2.21 Å) ≈ NH(CH3)2 (2.24 Å). At the same time, the H atom of surface OH* moves toward the N atom through the formation of HB. Accordingly, the O 3 3 3 H distance in TS1-b or TS1-c is elongated to 1.13 Å as the H 3 3 3 N distance is shortened to 1.41 Å. In these transition states, the hydrogen bonding interaction is stronger than that in the physisorption complex (Im1-b or Im1-c). Passing through TS1-b (TS1-c), the SiO bond in Im2-b (Im2-c) is formed with the length of 1.80 (1.81) Å, and the H atom of surface OH* approaches the N atom of the Lewis base. For the subsequent TS2-b (path b) with 4MR structure, the SiCl bond involved with the “leaving” Cl atom is elongated, and the “leaving” Cl atom tends to bind with the H atom to yield HCl. Different from TS2-b, a transition state (TS2-c)

ARTICLE

with 6MR structure is located in path c. The “leaving” Cl atom may meet the exchangeable H1 atom of the NH3 molecule, and another H2 atom may interact with O atom of surface OH* and N atom of NH3 molecule. Then in the next step, the Si 3 3 3 Cl and O 3 3 3 H distances further increase, and the angle of ClHN turns out to be almost 180 from Im3-b (Im3-c) to Im4-b (Im4-c). Finally, a stable byproduct, pyridinium or NH4Cl salt, is produced. For the studied Lewis-base-catalyzed reactions, the H2O half reaction (B) shows similar pathways to the SiCl4 half reaction (A). 3.2. Catalysis of Lewis Bases: Alkalinity versus Steric Hindrance. As mentioned above, the key role played by Lewisbase catalysts in SiO2 ALD is the promotion of hydrogen bonding interaction with the surface OH* in SiCl4 half reaction (A) or H2O molecule in H2O half reaction (B). It can be seen that five Lewis bases exert different catalytic abilities, showing the important influence of alkalinity and steric hindrance of catalysts. Alkalinity. The value of pKa is applied to reflect the relative alkalinity of Lewis base. From pyridine (5.23), NH3 (9.25), N(CH3)3 (9.80), NH2CH3 (10.66), to NH(CH3)2 (10.73), the alkalinity of these Lewis bases increases gradually.15 A higher pKa value of Lewis bases implies easier donation of electrons. The electron-rich N atom of the Lewis base can attack not only the surface OH* group in SiCl4 half reaction (A) but also the H2O molecule in the H2O half reaction (B) through hydrogen bonding interaction. It can be expected that the strength of HB is dependent on alkalinity of the Lewis base. As shown in Table 2, the strength of HB in the SiCl4 half reaction does increase as the alkalinity of Lewis base increases. For the adsorption of precursor, the hydrogen bonding interaction induces the accumulation of more charge (0.04 e) on O atom to easily attack the electron-deficient Si atom. Accordingly, the Si 3 3 3 O distance decreases in the order of NH(CH3)2 (3.37 Å) < NH2CH3 (3.70 Å) < NH3 (3.71 Å) < pyridine (3.73 Å). Only N(CH3)3 stands out of this trend with the longest Si 3 3 3 O distance of 3.86 Å, probably due to the steric hindrance (discussed in the next subsection). The FTIR investigations of pyridine-8 and NH3-catalyzed11SiO2 ALD have already shown that Lewis bases can strongly interact with surfacial hydroxyls though HBs and induce the red shift of νOH stretching vibration model (∼3746 cm1). In order to see the correlation between the HB strength and the alkalinity of Lewis-base catalysts, we also make comparison of the red shifts of νOH in various reaction systems (Figure 4). As shown in Figure 4, the νOH generally becomes more red-shifted as the alkalinity of the Lewis base increases, matching with the variation order in the strength of HB. Especially for the substituted ammonia series, N(CH3 )3, NH2CH3, and NH(CH3)2, the OH stretching mode is split into two peaks because of the coupling with the CH stretching vibration of CH3. In the catalyzed H2O half reaction (B), the relative strength of HB also coincides with the relative alkalinity of the Lewis base and follows an increasing trend of pyridine < N(CH3)3 < NH(CH3)2 ≈ NH3 ≈ NH2CH3. Among them, the pyridinecatalyzed adsorption complex (Im5-b) has the longest Si 3 3 3 O distance of 3.42 Å, and the NH3-catalyzed complex (Im5-c) has the shortest Si 3 3 3 O separation (3.25 Å). It is also not surprising that the reaction energies of key steps concerning the formation of HBs are sensitive to the relative alkalinity of catalysts (Figure 5). Only the desorption energy is independent of the pKa value, because the byproduct desorbs in the form of salt. In order to present a clear picture of the hydrogen bonding interaction, we perform the natural bond 23369

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

ARTICLE

Figure 7. Single (S) vs double (D) OH* surface models: a schematic illustration of the different intermediates and pathways of noncatalyzed and Lewis-base-catalyzed SiCl4 (A) and H2O (B) half reactions in the presence of the neighboring OH* group. The corresponding activation energies are highlighted in insets (black, without a catalyst; blue, with NH3).

orbital (NBO)2932 analysis on the stationary points, as shown in Table 2. It is demonstrated that the main contribution to the hydrogen bonding comes from electron donation of the N lone pair (nN) of Lewis base into the OH antibonding orbital (σ*OH) of surface OH* group in the SiCl4 half reaction (A) or H2O molecule in the H2O half reaction (B). Again, a qualitative correlation between the nN f σ*OH interaction energy and the pKa value is shown from Table 2. Interestingly, the hybridization of N atoms in Lewis-base catalysts is about, sp2.6 for pyridine, sp3.5 for NH3, sp4.0 for NH2CH3, sp4.5 for NH(CH3)2, and sp5.1 for N(CH3)3, indicating an increasing s character of nN. Steric Hindrance. The exception of N(CH3)3 in the abovementioned alkalinityHB relationship implies the non-negligible influence of steric hindrance in catalyzed reactions. The Lewis bases with large steric hindrance would block the catalytic half reaction in some cases. In order to reveal the effect of steric hindrance of Lewis-base catalysts, the steric parameter, s, is defined as the largest spacing distance of the Lewis base perpendicular to the HB direction. As shown in Figure 1, the s

value follows a decreasing trend of N(CH3)3 > pyridine > NH(CH3)2 ≈ NH2CH2 > NH3. It is shown that the large steric hindrance of Lewis bases may bring about some unfavorable effects such as weakening the adsorption of precursor and increasing the activation energy. Although N(CH3)3 has much higher alkalinity than pyridine, the released adsorption energies of these two catalyzed systems are comparable to each other. As shown in Table 2, the Si 3 3 3 O distance (3.86 Å) of Im10-b (Figure S1, Supporting Information) for N(CH3)3-catalyzed SiCl4 half reaction is larger than that (3.81 Å) for the noncatalyzed one, and those (3.373.73 Å) for the other catalyzed reactions. Among all the selected Lewis-base catalysts, N(CH3)3 also has the largest activation energy of about 8.9 (2.0) kcal/mol in the SiCl4 (H2O) half reaction. For desorption of byproduct, the Lewis bases with larger spacing sizes have unfavorably larger desorption energies (Figures 5c), especially in H2O half reaction (13.114.6 kcal/ mol). As revealed by NBO results, the adsorption sites between N(CH3)3 3 HCl salt and substrate involve multiple interactions, 23370

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

ARTICLE

Figure 8. Reaction energies and stationary points along the pathways of noncatalyzed (a_D) and NH3-catalyzed (c_D) SiCl4 (A) half reactions on the double OH* model.

including the donation of Cl lone pair, nCl, of byproduct into the OH antibonding orbital, σ*OH, of the newly generated surface OH* group (nCl f σ*OH: 23.9 kcal/mol) and the donation of O lone pair, nO, of OH group into the CH antibonding orbital, σ*CH, of byproduct (nO f σ*CH: 1.7 kcal/mol). In comparison with the other catalysts, the strong binding interaction between N(CH3)3 3 HCl salt and substrate in N(CH3)3catalyzed H2O half reaction makes the desorption of byproduct much more difficult. 3.3. Influence of the Neighboring OH* Group on Reaction Pathways. Double OH* Surface Models. The abovementioned reaction pathways were predicted by using the single OH* surface model (S). It is also interesting to study the influence of the nearest-neighboring OH* group on the possible reactions of SiO2 ALD by introducing the more complicated surface model with more than one surfacial OH* group. In fact, the SiO2 thin films are of the amorphous phase with rich coverages of surfacial OH* groups and a wide distribution of the O 3 3 3 O distances between two surfacial OH* groups. In Figure 6, our molecular dynamic (MD) simulation with the consistent-valence force field (CVFF)33 and 1 ns duration on the amorphous SiO2 film show that the O 3 3 3 O distance, r, ranges widely from 2.5 to 6.0 Å (and even longer). In order to predict the possible reaction pathways with the presence of the nearest-neighboring OH* groups, the properly truncated double OH* surface model is desired. Several double OH* surface models, D1D6, which have been adopted in previous calculations,12,16,23,34,35 are compared in Figure 6, with the nearest O 3 3 3 O distance being highlighted in insets. It is obvious that the distant OH* group does not

affect the pathways of the first half reaction of ALD. In other words, if the O 3 3 3 O distance is large enough, the corresponding Lewis-base-catalyzed reactions on the double OH* model (D) is similar to the one on the single OH model (S). But the closely distributed OH* group may bring about new possibility to form the bridged reaction intermediates between two neighboring O atoms of hydroxyls. Thus, the model D1 (also adopted in ref 16) with the smallest O 3 3 3 O distance of about 2.9 Å is selected here to test the impacts of the neighboring OH* group on reactions of SiO2 ALD. Bridged Intermediates Introduced by the Neighboring OH* Group: Noncatalyzed versus NH3-Catalyzed Reactions. As expected, for the initial stage of the incoming precursor SiCl4 reacting with the surfacial OH* group, the activation energies (23.7 kcal/mol without a catalyst; 6.6 kcal/mol with NH3 catalyst) predicted by the double OH* model (D1) are close to those (23.0 and 6.8 kcal/mol for the noncatalyzed and NH3catalyzed, respectively) obtained from single OH* model (S), as shown in Figure 7. However, once the SiCl3* group emerges on the surface, reaction pathways on the double OH* model are quite different from those on the single OH* model, because the resulting SiCl3* group can react with the nearestneighboring OH* group to form the bridged SiCl2* group in the SiCl4 half reaction (A). The formation of the bridged SiCl2* group leads to an increase of the activation energy (by 5.3 kcal/mol without a catalyst and 8.0 kcal/mol with NH3) relative to the first step. For both noncatalyzed and NH3catalyzed SiCl4 half reactions (A) on the double OH* model, reactive sites of stationary points along reaction pathways (labeled as path a_D and path c_D) are similar to those on 23371

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C

ARTICLE

Figure 9. Reaction energies and stationary points along the pathways of noncatalyzed (a_D) and NH3-catalyzed (c_D) H2O (B) half reactions on the double OH* model.

the single OH* model, as indicated by Figure 8 and Table S4 of the Supporting Information. Toward the formation of the surfacial SiCl2*, the transition state (TS2-a_D) also takes a nearly coplanar 4MR structure without a catalyst. After introducing the Lewis-base catalyst, exchangeable H atoms of NH3 would assist the formation of the bridged SiCl2* in TS3-c_D via a 6MR structure. For the H2O half reaction (B), the bridged SiCl2* can undergo two-sequential steps reacting with the incoming H2O molecules, consequently transforming into the bridged Si(OH)2* group. For non- or NH3-catalyzed reactions, the activation energy of reaction with the second H2O molecule is higher than that involving the first H2O (by about 5.6 kcal/mol without a catalyst and 0.3 kcal/mol with NH3). For the reaction with the first incoming H2O molecule without a catalyst (path a_D of Figure 9), the 4MR transition state (TS3-a_D) is also formed. In the next step, however, affected by the existence of the first reproduced surface OH* group, the second incoming H2O molecule adopts the upright direction to approach the surface, going through the 4MR transition state to form the products. Similar to the NH3-catalyzed SiCl4 half reaction (A), NH3 molecule in the H2O half reaction (B) also promotes the incoming H2O molecule to form the OSi bond with the surface through hydrogen bonds, via 6MR-like transition states (cf. path c_D of Figure 9). The reduction in activation energy also makes the desorption of byproduct NH4Cl in the H2O half reaction a rate-determined step (about 44.9 and 44.7 kcal/mol for formations of SiClOH* and Si(OH)2*, respectively) in the NH3-catalyzed ALD process on the double OH* model, identical to those on the single OH* model.

Steric Hindrance for Other Lewis-Base Catalysts. The influence of the steric hindrance of other Lewis bases such as pyridine, N(CH3)3, NH2CH3, and NH(CH3)2 is remarkable for the double OH* model with the closest O 3 3 3 O distance of about 2.9 Å. As illustrated by Figure 7, the two closest neighboring OH* groups on the double OH* model (D1) twist significantly with a quite large torsion angle, α, of about 26.960.4 to accommodate these space-demanding Lewis bases. Therefore, they could not effectively catalyze the initial step of the SiCl4 half reaction for the formation of the bridged SiCl2* group. That may also be a factor for the explanation of less effective catalysis of pyridine than NH3. It is interesting to notice from Figure 7 that the torsion angle, α, does increase as the steric hindrance parameter, s, increases from NH2CH3 (4), NH(CH3)3 (5), pyridine (1), to N(CH3)3 (2), shedding light on the rational design of effective Lewis-base catalysts with strong alkalinity and small steric hindrance.

4. CONCLUSIONS DFT (B3LYP/6-31G(d, p)) calculations have been used to systematically investigate the influence of alkalinity and steric hindrance of Lewis-base catalysts on the ALD growth of SiO2. Both single and double OH* surface models were adopted in our calculations. The ALD of SiO2 undergoes successive selfterminating SiCl4 (A) and H2O (B) half reactions, respectively. On the basis of the double OH* surface model, the rate-limiting step is the first SiCl4 half reaction with an activation energy of about 29.0 kcal/mol for the formation of the bridged SiCl2* group in the absence of catalysts. Five Lewis-base catalysts, 23372

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373

The Journal of Physical Chemistry C pyridine, NH3, N(CH3)3, NH2CH3, and NH(CH3)2, following an increasing trend of their alkalities, can interact with surface OH* group in the SiCl4 half reaction (A) and H2O molecule in H2O half reaction (B) through hydrogen bonding. The strength of HB increases as the alkalinity of Lewis base increases. As the pKa value increases, the adsorption of precursors becomes stronger and the activation energy decreases to about 1.314.6 kcal/mol in both half reactions except for N(CH3)3. The exception of N(CH3)3 implies the steric hindrance of Lewis bases is an unfavorable factor to weaken the adsorption of precursor and block the formation of the hydrogen bond in each half reaction. On the double OH* model, the Lewis bases with relatively large steric hindrance cause the two closest neighboring OH* groups to twist significantly with the torsion angle, α, increasing as the increase of steric hindrance parameter, s. Upon the introduction of Lewis-base catalysts, the remarkable reduction in activation energy makes the desorption of byproduct in the H2O half reaction a rate-determined step in the catalytic ALD process. The catalysts with large steric hindrance may cause the difficulty in removal of byproduct at room temperature. The Lewis base with strong alkalinity and small steric hindrance may effectively catalyze the ALD growth of inorganic materials. The calculation results may be helpful for experimental chemists to design new Lewis-base catalysts for the low-temperature growth of metal oxides.

’ ASSOCIATED CONTENT

bS

Supporting Information. Results of stationary points and corresponding energies for different Lewis bases (Figure S1), details of BOMD-simulation results (Figure S2), and energies and bond parameters of stationary points along the reaction pathways in the SiCl4 (A) and H2O (B) half reactions are summarized (Tables S1S4). This material is available free of charge via the Internet at http://pubs.acs.org.

’ AUTHOR INFORMATION Corresponding Author

*E-mail: [email protected].

’ ACKNOWLEDGMENT This work was supported by the National Basic Research Program (No. 2011CB808604 and 2009ZX02039-004), the National Natural Science Foundation of China (Grant No. 20825312, 10974085, and 50932001), and the Specialized Research Fund for the Doctoral Program of Higher Education of China (20100091110024). We are grateful to the High Performance Computing Center of Nanjing University for providing the IBM Blade cluster system.

ARTICLE

(9) Klaus, J. W.; George, S. M. Surf. Sci. 2000, 447, 81. (10) Klaus, J. W.; George, S. M. J. Electrochem. Soc. 2000, 147, 2658. (11) Ferguson, J. D.; Smith, E. R.; Weimer, A. W.; George, S. M. J. Electrochem. Soc. 2004, 151, G528. (12) Kang, J. K.; Musgrave, C. B. J. Appl. Phys. 2002, 91, 3408. (13) Hair, M. L.; Hertl, W. J. Phys. Chem. 1969, 73, 2372. (14) Okamoto, Y. J. Phys. Chem. B 1999, 103, 11074. (15) Lide, D. R. CRC Handbook of Chemistry and Physics; CRC Press: Boca Raton, FL, 2003. (16) Hu, Z.; Turner, C. H. J. Am. Chem. Soc. 2007, 129, 3863. (17) Dong, L.; Sun, Q.-Q.; Shi, Y.; Liu, H.; Wang, C.; Ding, S.-J.; Zhang, D. W. Appl. Phys. Lett. 2008, 92, 111105. (18) Frisch, M. J.; Trucks, G. W.; Schlegel, H. B.; Scuseria, G. E.; Robb, M. A.; Cheeseman, J. R.; Scalmani, G.; Barone, V.; Mennucci, B.; Petersson, G. A.; Nakatsuji, H.; Caricato, M.; Li, X.; Hratchian, H. P.; Izmaylov, A. F.; Bloino, J.; Zheng, G.; Sonnenberg, J. L.; Hada, M.; Ehara, M.; Toyota, K.; Fukuda, R.; Hasegawa, J.; Ishida, M.; Nakajima, T.; Honda, Y.; Kitao, O.; Nakai, H.; Vreven, T.; Montgomery, J. A.; Peralta, J. E.; Ogliaro, F.; Bearpark, M.; Heyd, J. J.; Brothers, E.; ; Kudin, K. N.; Staroverov, V. N.; Kobayashi, R.; Normand, J.; Raghavachari, K.; Rendell, A.; Burant, J. C.; Iyengar, S. S.; Tomasi, J.; Cossi, M.; Rega, N.; Millam, J. M.; Klene, M.; Knox, J. E.; Cross, J. B.; Bakken, V.; Adamo, C.; Jaramillo, J.; Gomperts, R.; Stratmann, R. E.; Yazyev, O.; Austin, A. J.; Cammi, R.; Pomelli, C.; Ochterski, J. W.; Martin, R. L.; Morokuma, K.; Zakrzewski, V. G.; Voth, G. A.; Salvador, P.; Dannenberg, J. J.; Dapprich, S.; Daniels, A. D.; Farkas, O.; Foresman, J. B.; Ortiz, J. V.; Cioslowski, J.; Fox, D. J. Gaussian 09, Revision A.02; Gaussian, Inc.: Wallingford, CT, 2009. (19) Gonzalez, C.; Schlegel, H. B. J. Chem. Phys. 1989, 90, 2154. (20) Helgaker, T.; Uggerud, E.; Jensen, H. J. A. Chem. Phys. Lett. 1990, 173, 145. (21) Uggerud, E.; Helgaker, T. J. Am. Chem. Soc. 1992, 114, 4265. (22) Hu, Z.; Turner, C. H. J. Phys. Chem. C 2007, 111, 5756. (23) Hu, Z.; Turner, C. H. J. Phys. Chem. B 2006, 110, 8337. (24) Han, J. H.; Gao, G.; Widjaja, Y.; Garfunkel, E.; Musgrave, C. B. Surf. Sci. 2004, 550, 199. (25) Mui, C.; Musgrave, C. B. J. Phys. Chem. B 2004, 108, 15150. (26) Chen, W.; Sun, Q.-Q.; Xu, M.; Ding, S.-J.; Zhang, D. W.; Wang, L.-K. J. Phys. Chem. C 2007, 111, 6495. (27) Zhao, Y.; Truhlar, D. G. Theor. Chem. Acc. 2008, 120, 215. (28) Klaus, J. W.; Sneh, O.; Ott, A. W.; George, S. M. Surf. Rev. Lett. 1999, 6, 435. (29) Glendening, E. D.; Reed, A. E.; Carpenter, J. E.; Weinhold, F. NBO, Version 3.1. (30) Foster, J. P.; Weinhold, F. J. Am. Chem. Soc. 1980, 102, 7211. (31) Reed, A. E.; Curtiss, L. A.; Weinhold, F. Chem. Rev. 1988, 88, 899. (32) Weinhold, F.; Landis, C. Valency and Bonding; Cambridge University Press: Cambridge, U.K., 2005. (33) Dauber-Osguthorpe, P.; Roberts, V. A.; Osguthorpe, D. J.; Jon Wolff, M. G.; Hagler, A. T. Proteins: Struct., Funct., Genet. 1988, 4, 31. (34) Ren, J.; Liu, F.-Y.; Zhang, Y.-T.; Zhang, D. W. Thin Solid Films 2007, 515, 4702. (35) Jeloaica, L.; Esteve, A.; Rouhani, M. D.; Esteve, D. Appl. Phys. Lett. 2003, 83, 542.

’ REFERENCES (1) Puurunen, R. L. J. Appl. Phys. 2005, 97, 121301. (2) Knez, M.; Nielsch, K.; Niinist€o, L. Adv. Mater. 2007, 19, 3425. (3) George, S. M. Chem. Rev. 2010, 110, 111. (4) Sneh, O.; Wise, M. L.; Ott, A. W.; Okada, L. A.; George, S. M. Surf. Sci. 1995, 334, 135. (5) Klaus, J. W.; Ott, A. W.; Johnson, J. M.; George, S. M. Appl. Phys. Lett. 1997, 70, 1092. (6) Klaus, J. W.; Sneh, O.; George, S. M. Science 1997, 278, 1934. (7) Du, Y.; Du, X.; George, S. M. Thin Solid Films 2005, 491, 43. (8) Du, Y.; Du, X.; George, S. M. J. Phys. Chem. C 2007, 111, 219. 23373

dx.doi.org/10.1021/jp2048663 |J. Phys. Chem. C 2011, 115, 23363–23373