Microelectronics Processing - ACS Publications - American Chemical


Microelectronics Processing - ACS Publications - American Chemical...

0 downloads 114 Views 7MB Size

7 Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

Resists in Microlithography Michael J. O ' B r i e n and D a v i d S. Soane 1

1 2

2

Silicone Products Division, General Electric Company, Waterford, N Y 12188 Department of Chemical Engineering, University of California, Berkeley, C A 94720

The drive toward increased circuit density in microelectronic devices has prompted significant efforts aimed at improving the resolution capabilities of lithographic equipment, materials, and processes. This chapter provides an overview of the various microlithographic strat­ egies currently in use, with a special emphasis on resist materials, chemistry, and processing schemes. Emerging technologies are also described, which, although not yet implemented, may hold the key to future progress.

T H E

D E M A N D F O R I N C R E A S E D C I R C U I T D E N S I T Y o n s i l i c o n c h i p s o v e r the

last 25 years has c o n t i n u e d to p u s h u p the l e v e l of i n t e g r a t i o n . P h o t o l i t h ­ ography has r e s p o n d e d to this d e m a n d b y i m p r o v e m e n t s i n exposure a n d a l i g n m e n t systems, p r o d u c t i o n of n e w materials, a n d innovative fabrication methods. Today, 1-2-μπι features are typical of critical geometries for most p r o d u c t i o n devices, whereas i n state-of-the-art processes, s u b m i c r o m e t e r features are b e c o m i n g m o r e c o m m o n . I n this chapter, various m i c r o l i t h o ­ graphic strategies a n d the k e y role of p o l y m e r s i n this technology w i l l be discussed. Inorganic resist materials, w h i c h are still i n a h i g h l y exploratory stage o f d e v e l o p m e n t , w i l l not be c o v e r e d . L i t h o g r a p h i c processes are based o n r a d i a t i o n - i n d u c e d alteration of h i g h l y s p e c i a l i z e d photosensitive p o l y m e r i c films, w h i c h are c a l l e d pho­ toresists or, s i m p l y , resists. T h e photoresists u s e d i n s e m i c o n d u c t o r m i ­ crolithography w e r e o r i g i n a l l y d e v e l o p e d for the p r i n t i n g i n d u s t r y (I). F o r a t y p i c a l process, the resist is a p p l i e d onto a substrate to f o r m a t h i n u n i f o r m film. Irradiation t h r o u g h a glass plate o r " m a s k " coated w i t h an opaque m a t e r i a l (usually c h r o m i u m ) b e a r i n g an array of c i r c u i t patterns allows se0065-2393/89/0221-0325$13.80/0 © 1989 American Chemical Society

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

326

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

l e c t e d areas o f the photoresist to b e exposed ( F i g u r e 1). T h e m o d i f i e d o r exposed regions of the p o l y m e r e x h i b i t an a l t e r e d rate of r e m o v a l or d e v e l o p m e n t i n certain c h e m i c a l reagents (developers), w h i c h results i n the form a t i o n o f a p o l y m e r i c r e l i e f image o f the mask pattern. O n the basis o f the c h e m i c a l nature o f the photoresist, e i t h e r a positive o r a negative image o f the o r i g i n a l mask is f o r m e d . Resists that p r o d u c e negative-tone images u n d e r g o c r o s s - l i n k i n g u p o n irradiation. C r o s s - l i n k i n g renders these resists less soluble i n the d e v e l o p e r solvent. C o n v e r s e l y , positive resists undergo m o l e c u l a r changes that enhance t h e i r s o l u b i l i t y i n the d e v e l o p e r such that exposed regions are p r e f e r e n t i a l l y r e m o v e d . T h e p a t t e r n e d resist image thus o b t a i n e d delineates the areas i n w h i c h subsequent modification o r r e m o v a l o f the u n d e r l y i n g substrate w i l l take place. T h r o u g h e i t h e r c h e m i c a l or p h y s i c a l processes, the substrate is a l t e r e d i n the u n m a s k e d regions, whereas the r e m a i n i n g resist protects the areas

Exposure

Incident Radiation

Will

llli

min

Mask Photoresist

Development

Positive-tone Resist

Negative-tone Resist

Figure 1. Diagram showing how irradiation through a mask allows selected areas of the photoresist to be exposed. In positive resists, the exposed areas become more soluble in the developer and, therefore, can be selectively removed. In negative resists, the exposed areas become less soluble in the developer, and thus, unexposed material is selectively dissolved.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O'BRIEN & S ο AN Ε

Resists in

Microlithography

w h e r e m i n i m a l change is i n t e n d e d . A s the final step i n this process,

327 the

r e m a i n i n g resist is s t r i p p e d b y w e t - or p l a s m a - e t c h i n g methods. T h i s p h o ­ tolithographic sequence is repeated for e v e r y p a t t e r n e d c i r c u i t layer o n the s e m i c o n d u c t o r device. E a c h t i m e , the appropriate mask is p r e c i s e l y a l i g n e d to the previous p a t t e r n o n the wafer. Resist materials u s e d i n this a p p l i c a t i o n m u s t m e e t stringent resolution a n d sensitivity r e q u i r e m e n t s . T h e y m u s t also possess excellent

film-forming

properties a n d d u r a b i l i t y to w i t h s t a n d the

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

h i g h l y cojrosive chemicals, p l a s m a treatments, a n d t e m p e r a t u r e cycles e n ­ c o u n t e r e d d u r i n g substrate e t c h i n g , d o p i n g , a n d deposition processes.

Exposure Techniques Optical Lithography.

L i t h o g r a p h i c processes can be classified ac­

c o r d i n g to the energy u s e d to expose the resists a n d the e q u i p m e n t necessary to a c c o m p l i s h the process. Image q u a l i t y depends o n the exposure m e t h o d , h a r d w a r e , a n d resist m a t e r i a l . I n optical l i t h o g r a p h y , the resist is exposed to radiation w i t h i n the near- to d e e p - U V region (200-450 nm). Near-UV

Lithography.

N e a r - U V l i t h o g r a p h y , i n w h i c h resists are ex­

posed to radiation i n the 3 5 0 - 4 5 0 - n m n e a r - U V range, is b y far the most c o m m o n l y u s e d o p t i c a l l i t h o g r a p h i c m e t h o d i n p r o d u c t i o n . E x p o s u r e systems d e s i g n e d for this p u r p o s e are e q u i p p e d w i t h h i g h - i n t e n s i t y m e r c u r y - x e n o n lamps as radiation sources a n d a variety of lenses a n d m i r r o r s for l i g h t c o l l i m a t i o n . T h e spectral output of the m e r c u r y - x e n o n l a m p i n the 3 5 0 - 4 5 0 n m range has several strong peaks, the most i m p o r t a n t of w h i c h are at 365 n m (i line) a n d 436 n m (g line). S e v e r a l methods are available to image photoresists. I n contact p r i n t i n g , the mask a n d substrate are b r o u g h t into h a r d contact u n d e r v a c u u m . E x ­ posure occurs t h r o u g h a mask, w i t h the c i r c u i t pattern r e p r o d u c e d m a n y times i n an array. T h i s p r o c e d u r e results i n a 1:1 image of the entire mask o n each wafer. U n f o r t u n a t e l y , several major faults of this scheme offset the advantage of excellent resolution a n d p r e c l u d e its use i n the fabrication of h i g h - d e n s i t y devices. F i r s t , scratches r e s u l t i n g from surface contact l e a d to w e a r a n d p r e m a t u r e degradation of the mask. S e c o n d , unacceptably h i g h levels of resist damage a n d particulate defects occur. T h i r d , the i n h e r e n t lack of absolute substrate a n d mask flatness precludes perfect contact a n d gives rise to d i s t o r t i o n . T h e s e p r o b l e m s have p r o m p t e d the d e v e l o p m e n t of more-sophisticated a l i g n m e n t tools, a n d n o w , contact p r i n t i n g is relegated p r i m a r i l y to the p r o d u c t i o n of inexpensive chips w i t h large device g e o m e ­ tries. P r o x i m i t y p r i n t i n g , a variation of contact p r i n t i n g , preserves a m i n i m u m gap of approximately 1 0 - 3 0 μπι b e t w e e n the silicon wafer a n d the mask. A l t h o u g h the p r o b l e m of particulate c o n t a m i n a t i o n is a v o i d e d , light d i s t o r t i o n is e n h a n c e d , a n d a loss i n r e s o l u t i o n results.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

328

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

P r o j e c t i o n p r i n t i n g uses a series of h i g h l y refined reflecting lenses to project the mask image onto the wafer over a distance of m a n y inches. T h i s m e t h o d allows tight c o n t a m i n a t i o n c o n t r o l a n d p r o l o n g e d mask life b u t is p r o n e to optical aberrations. T h e necessity of u s i n g h i g h l y sophisticated optical systems a n d the mechanics r e q u i r e d to achieve adequate a l i g n m e n t dramatically increase the cost of projection aligners. T h e q u a l i t y o f p a t t e r n transfer differs greatly a m o n g the three m o d e s of

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

p r i n t i n g . A s an example, a mask w i t h p a r a l l e l b u n d l e s of slits a n d spaces b e t w e e n slits w i t h d i m e n s i o n s comparable w i t h the slits can b e c o n s i d e r e d . I n this case, o p t i c a l interference results i n d i s t o r t e d images. T h e theoretical m i n i m u m d i m e n s i o n (for b o t h space a n d slit) that allows resolvable i n t e r ­ ference peaks for contact o r p r o x i m i t y p r i n t i n g is a p p r o x i m a t e d b y :

I n e q u a t i o n 1, b

min

is the m i n i m u m feature size transferable, λ is the w a v e ­

l e n g t h o f light, s is the separation b e t w e e n the mask a n d the substrate, a n d d is the thickness of the resist layer. I n p r o j e c t i o n p r i n t i n g , a series o f u n d u l a t i n g m a x i m a a n d m i n i m a are p r o d u c e d . Because of m u t u a l i n t e r f e r ­ e n c e , the dark r e g i o n is n e v e r c o m p l e t e l y dark, a n d the m a x i m u m brightness does not c o r r e s p o n d to 1 0 0 % transmission. T h e q u a l i t y of transfer can b e c o n v e n i e n t l y i n d i c a t e d b y the m o d u l a t i o n i n d e x , M , w h i c h is d e f i n e d as follows:

M =

/ m a x

I x

max

~ + I

I m i n

1

(2)

* mm

I n e q u a t i o n 2, J and Z are the peak a n d t r o u g h intensities, respectively. I d e a l optics w o u l d give a n i n d e x e q u a l to u n i t y . H o w e v e r , i n practice, a l l exposure systems behave less than i d e a l l y (i.e., M < 1). m a x

m i n

E v e n t h o u g h p r o j e c t i o n optics e m b o d i e s the i n h e r e n t l i m i t a t i o n of pat­ t e r n transfer j u s t m e n t i o n e d , this t e c h n i q u e has b e c o m e a d o m i n a n t approach i n h i g h - r e s o l u t i o n w o r k . A k e y reason for this success is the a b i l i t y o f p r o ­ j e c t i o n p r i n t i n g to use r e d u c t i o n refraction optics w i t h h i g h n u m e r i c a l a p ­ ertures. T h e r e s o l v i n g p o w e r of projection systems can be a p p r o x i m a t e d b y :

ΝΑ I n equation 3, W is the m i n i m u m feature size, k is an e m p i r i c a l l y d e t e r m i n e d constant that depends o n resist processing, λ is the w a v e l e n g t h of the i n c i d e n t

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

329

radiation, a n d N A is the n u m e r i c a l aperture of the optical system. T h u s , resolution can be increased b y u s i n g shorter w a v e l e n g t h r a d i a t i o n o r b y increasing the n u m e r i c a l a p e r t u r e . I n a d d i t i o n , some i m p r o v e m e n t i n reso l u t i o n can be a c h i e v e d b y adjusting processing conditions to m i n i m i z e k. U n f o r t u n a t e l y , r e s o l u t i o n gains t h r o u g h the use of h i g h - N A optics or shorter wavelengths have a deleterious effect o n the d e p t h of focus ( D O F ) ,

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

as s h o w n b y e q u a t i o n 4:

D

0

F

-

« b

( 4 )

Because D O F is d i r e c t l y p r o p o r t i o n a l to w a v e l e n g t h a n d i n v e r s e l y p r o p o r tional to the square of N A , the use of shorter w a v e l e n g t h radiation has less effect than the increase i n the n u m e r i c a l aperture. D e s p i t e i m p r o v e m e n t s i n p r o j e c t i o n optics, interference p h e n o m e n a u n r e l a t e d to m e c h a n i c a l design c o n t i n u e to l i m i t lithographic r e s o l u t i o n . O n e s u c h example is the standing-wave effect (2). D u r i n g exposure, the i n c i d e n t l i g h t is o n l y partially absorbed b y the resist, a n d u n a b s o r b e d r a diation can u n d e r g o partial reflection at the r e s i s t - s u b s t r a t e interface. T h e r e s u l t i n g reflected b e a m t h e n sets u p an interference p a t t e r n w i t h the u n absorbed i n c i d e n t b e a m . A resist near a constructive node reacts m o r e extensively than does a m a t e r i a l near a destructive node. T h e u n e v e n structural alteration manifests i t s e l f as scalloped edge profiles after resist d e v e l o p m e n t , w h i c h c o m p r o m i s e s p a t t e r n r e s o l u t i o n . O n e solution to this p r o b l e m is the use o f an antireflective coating to r e d u c e reflective waves (3, 4). A n o t h e r approach involves the use o f a postexposure bake step that smoothes the resist edges b y diffusion of the reacted species (5). M u l t i l e v e l resists, w h i c h w i l l b e discussed i n a later section, offer still another r e m e d y to this p r o b l e m . T h e f u n d a m e n t a l l i m i t a t i o n s o f optical interference can b e suppressed greatly i f the w a v e l e n g t h of the source radiation is shortened. Because patt e r n d i s t o r t i o n is severe w h e n feature r e s o l u t i o n approaches the exposure w a v e l e n g t h , the use of short-wavelength radiation pushes the r e s o l u t i o n towards finer features. T h u s , the i n c r e a s i n g t r e n d is to explore d e e p - U V sources a n d to i m p r o v e u p o n the existing n e a r - U V hardware. T h e desire to r e d u c e feature size has also generated m u c h interest i n X - r a y s a n d e l e c t r o n beams as alternative radiation sources. Deep-UV Lithography. T h e i m p o r t a n t issues for d e e p - U V l i t h o g r a p h y ( 2 0 0 - 2 5 0 nm) are a l i g n e r optics a n d resist materials. P r o b l e m s i n a l i g n e r optics stem from the decreased transparency of standard lens materials i n this frequency range, w h i c h necessitates the use of more-expensive c o n struction materials such as q u a r t z . T y p i c a l n e a r - U V positive resists are not useful for d e e p - U V l i t h o g r a p h y because of unacceptable absorption at

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

330

MICROELECTRONICS PROCESSING: C H E M I C A L E N G I N E E R I N G ASPECTS

2 0 0 - 2 5 0 n m . Resists t a i l o r e d for i m p r o v e d performance i n the d e e p - U V r e g i o n , h o w e v e r , are n o w b e c o m i n g available i n n e w products (6). N e v e r theless, d e e p - U V l i t h o g r a p h y remains i n the d e v e l o p m e n t phase a n d is not c u r r e n t l y u s e d i n i n t e g r a t e d - c i r c u i t (IC) p r o d u c t i o n . D e e p - U V source brightness is another issue, because the p o w e r o u t p u t of a 1 - k W m e r c u r y - x e n o n l a m p i n the 2 0 0 - 2 5 0 - n m range is o n l y 3 0 - 4 0 m W . F o r this reason, e x c i m e r lasers (such as K r C l a n d K r F ) , w h i c h can d e l i v e r

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

several watts of p o w e r at the r e q u i r e d wavelengths, are b e i n g c o n s i d e r e d as alternatives (7). I n fact, a d e e p - U V step-and-repeat projection system w i t h an a l l - q u a r t z lens a n d a K r F e x c i m e r laser w i t h an o u t p u t at 248 n m has b e e n r e p o r t e d (8). E v e n the laser-based systems r e q u i r e resists w i t h a s e n sitivity of 3 0 - 7 0 m j / c m . 2

Electron Beam Lithography. T h e e v e r - d i m i n i s h i n g I C feature size has m o t i v a t e d the d e v e l o p m e n t of exposure techniques w i t h h i g h - e n e r g y sources. O n e such radiation source is the e l e c t r o n b e a m . T h i s technology is r o u t i n e l y u s e d to generate masks for p h o t o l i t h o g r a p h y a n d is foremost i n e x p e r i m e n t a l applications of c o m p l e x - d e v i c e fabrication. Its two major d r a w backs are l o w t h r o u g h p u t a n d h i g h capital cost. F o r direct wafer w r i t i n g or mask fabrication, sensitive resists are n e c essary to ensure a reasonable t h r o u g h p u t . A second p r o b l e m is e l e c t r o n back scattering caused b y collisions of electrons w i t h atoms w i t h i n the resist a n d substrate. I n this situation, the electronic stopping p o w e r of organic resists is l i m i t e d , a n d a large fraction of the i n c i d e n t electrons is a l l o w e d to reach the u n d e r l y i n g substrate. C o l l i s i o n w i t h the substrate causes r a n d o m scatt e r i n g a n d secondary a n d back electron generation. H e n c e , the resist is s h o w e r e d w i t h electrons from the substrate, a n d the total energy d e p o s i t e d w i t h i n the resist has a s m e a r e d d i s t r i b u t i o n , w i t h a b r o a d base near the b o t t o m (9). I f a low-contrast resist is u s e d , these scattered electrons may have sufficient energy to cause degradation. T h i s effect lowers the l i n e w i d t h r e s o l u t i o n a p p r e c i a b l y . F o r reasonable t h r o u g h p u t s , sensitive resists are r e q u i r e d , a n d for better l i n e w i d t h control a n d r e s o l u t i o n , a high-contrast resist is r e q u i r e d . X-ray Lithography. X - r a y l i t h o g r a p h y is similar to optical l i t h o g r a p h y i n that flood exposure of the e n t i r e wafer t h r o u g h a p a t t e r n e d mask is possible. T h u s , the p o t e n t i a l for p r o d u c t i o n applications is greater. X - r a y lithography also has the advantages of an essentially infinite d e p t h of field, a h i g h tolerance to dust a n d c o n t a m i n a t i o n , a n d the absence of standing waves. Because the radiation w a v e l e n g t h varies from about 0.5 to 3 n m , diffraction is not an issue. O n e challenge of X - r a y l i t h o g r a p h y is the f a b r i cation of h i g h - q u a l i t y masks. H i g h - a t o m i c - n u m b e r metals, such as g o l d , are opaque to X - r a y s ; thus they p r o v i d e a shadow for pattern d e f i n i t i o n b y the masks. G o l d patterns are f o r m e d b y e l e c t r o n b e a m (e-beam) l i t h o g r a p h y o n

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

331

Microlithography

substrates such as b o r o n n i t r i d e , silicon c a r b i d e , or silicon n i t r i d e m e m b r a n e s (JO). O r g a n i c films s u c h as p o l y i m i d e have b e e n used also ( I I , 12). Because of the m i s m a t c h b e t w e e n the t h e r m a l expansions of the different materials used i n mask m a k i n g , stress-related pattern d i s t o r t i o n is possible. O t h e r major practical p r o b l e m s must b e o v e r c o m e before X - r a y l i t h o g raphy is accepted i n p r o d u c t i o n . F o r e m o s t is the availability of sensitive X ray resists. T o effect structural changes i n the p o l y m e r , the i n c i d e n t radiation

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

must be effectively absorbed. H y d r o c a r b o n - b a s e d organic resists are often transparent to X - r a y s , a n d hence, X - r a y resists m u s t be made sensitive b y the i n c o r p o r a t i o n of X - r a y - a b s o r b i n g h i g h - a t o m i c - n u m b e r atoms. T h i s p r o b l e m is a great challenge i n the synthesis or f o r m u l a t i o n of resists. A l t e r n a t i v e l y , a h i g h e r i n t e n s i t y X - r a y source can be d e v e l o p e d , so that the total exposure t i m e can be shortened. O n e such p o w e r f u l source is s y n c h r o t r o n radiation; h o w e v e r , c o m m e r c i a l i m p l e m e n t a t i o n of this costly source has not b e e n r e a l i z e d yet.

Resist Characterization T o accommodate the diverse needs of l i t h o g r a p h i c processes a n d device design specifications, resist properties vary. H o w e v e r , a few p r i m a r y characteristics c o m m o n to a l l resists can b e used to gauge t h e i r performance. T h e s e characteristics i n c l u d e sensitivity, contrast, r e s o l u t i o n , a n d e t c h i n g resistance. Because resist performance is strongly operation d e p e n d e n t , c o m p a r i s o n b e t w e e n materials m u s t be made u n d e r i d e n t i c a l conditions. Analysis by Dissolution Curves. M o s t performance indicators r e q u i r e o n l y an operational d e f i n i t i o n ; these concepts are e x p l a i n e d b y a film dissolution c u r v e . F i g u r e 2 shows a family of such curves, i n w h i c h the i n d i v i d u a l curves c o r r e s p o n d to resist b e h a v i o r i n d e v e l o p e r solution after exposure to the i n d i c a t e d radiation dose l e v e l . F i g u r e 2 is constructed for

T i m e in D e v e l o p e r

(s)

Figure 2. Dissolution curves for positive resists after exposure. The doses are designated by the numbers accompanying the traces. A stronger dose leaves a thinner film at a fixed development time.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

332

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

positive resists, for w h i c h h i g h e r doses lead to faster dissolution. W i t h n e g ative resists, h i g h doses t e n d to decrease t h e dissolution rate. F u r t h e r m o r e , such a f a m i l y of curves is h i g h l y system specific. T h e same p o l y m e r d e v e l o p e d i n solutions o f different strengths w o u l d give different sets o f d i s s o l u t i o n curves. S i m i l a r l y , w i t h i d e n t i c a l developers a n d e v e n t h e same m a n n e r o f agitation, p o l y m e r s dissolve at different rates i f t h e y are b a k e d (annealed) a n d c o o l e d at different temperatures a n d rates (13). I f the starting m a t e r i a l

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

has a s l i g h t l y different c o m p o s i t i o n o r m o l e c u l a r w e i g h t d i s t r i b u t i o n , again, these curves w o u l d b e shifted. M a n y e x p e r i m e n t a l techniques exist to d e t e r m i n e dissolution curves b y i n situ m o n i t o r i n g o f film d e v e l o p m e n t . T h e simplest t e c h n i q u e is t h e laser e n d - p o i n t - d e t e c t i o n system. I n this system, m o n o c h r o m a t i c l i g h t from a H e - N e laser is d i r e c t e d at a resist layer f r o m a n e a r - n o r m a l d i r e c t i o n (14). T h e reflected l i g h t is p i c k e d u p b y an adjacent optical fiber, a n d the i n t e n s i t y is analyzed b y a d i o d e detector. T h e o u t p u t is a smooth trace w i t h p e r i o d i c oscillations. T h e peaks a n d valleys c o r r e s p o n d to successive constructive a n d destructive interference nodes, w h i c h result f r o m film thickness changes as the resist is e t c h e d away. F r o m these p e r i o d i c o u t p u t traces o b t a i n e d w i t h resists exposed to v a r y i n g degrees o f radiation, t h e family o f characteristic curves s h o w n i n F i g u r e 2 c a n b e constructed. O t h e r more-sophisticated techniques exist for this p u r p o s e , i n c l u d i n g i n situ e l l i p s o m e t r y a n d t w o w a v e l e n g t h i n t e r f e r o m e t r y . T h e s e techniques w i l l b e discussed i n a later section. A n a l y s i s o f S e n s i t i v i t y . F r o m t h e characteristic dissolution curves, a cross plot c a n b e m a d e o f the n o r m a l i z e d r e m a i n i n g film thickness (ratio of c u r r e n t thickness to o r i g i n a l thickness) as a function of c u m u l a t i v e dosage. F i g u r e 3 gives such curves for a positive a n d a negative resist. T h e s e curves are referred to as sensitivity o r exposure response curves for resists. F o r positive resists, the g o v e r n i n g p h e n o m e n o n is film disappearance, whereas for negative resists, t h e i m p o r t a n t c r i t e r i o n is the film r e m a i n i n g . T h e m i n i m u m dose n e e d e d to cause t h e relevant p h e n o m e n o n to emerge, as measu r e d b y the d e v e l o p m e n t p r o c e d u r e , is k n o w n as t h e incipient dose for t h e particular resist u n d e r study. T h e i n c i p i e n t dose corresponds to the intercept f o r m e d b y the t w o extrapolated regions o f the c u r v e , d e n o t e d as D ° a n d D.° i n t h e figure. T h e completion dose is d e n o t e d b y t h e same symbols b u t w i t h o u t the superscript o. F o r positive resists, t h e c o m p l e t i o n dose c o r r e sponds to t h e p o i n t at w h i c h the film is c o m p l e t e l y d i s s o l v e d , whereas for negative resists, the c o m p l e t i o n dose designates the p o i n t at w h i c h t h e film is c o m p l e t e l y intact. T h e s e c o m p l e t i o n doses m a y b e c a l l e d t h e resist s e n sitivity; h o w e v e r , these doses are not necessarily those r e q u i r e d to y i e l d a lithographically useful image a n d are h i g h l y d e p e n d e n t o n t h e processing conditions chosen. p

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

Positive Resist

Figure 3. Response curves for positive and negatives resists. Marked on the curves are incipient and completion doses, which indicate the onset and completion of observable events. The variables monitored are film attrition for positive resists and film remaining for negative resists. These traces can be affected by a number of process parameters, particularly development conditions.

Analysis o f Contrast.

T h e contrast,

7 , o f a g i v e n resist, is d e f i n e d

mathematically for positive a n d negative resists b y equations 5 a n d 6, r e spectively.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

334

MICROELECTRONICS PROCESSING: C H E M I C A L E N G I N E E R I N G ASPECTS

I n general, the h i g h e r the contrast, the sharper are the edge profiles of d e v e l o p e d l i n e s . C o n t r a s t is also a resist q u a l i t y that can b e fine t u n e d b y j u d i c i o u s c h o i c e of processing parameters. I n the case of p o l y m e r i c e-beam a n d X - r a y resists, w h i c h u n d e r g o b o n d breakage u p o n i r r a d i a t i o n , followed b y c h a i n scission (positive resists) o r c r o s s - l i n k i n g (negative resists), resist sensitivity can be r e p r e s e n t e d b y a s t r u c t u r e - d e p e n d e n t constant c a l l e d a G value. G is a measure of scission efficiency, a n d G is a measure o f c r o s s - l i n k i n g efficiency. G values for resists that u n d e r g o o n l y c h a i n scission can b e d e t e r m i n e d e x p e r i m e n t a l l y b y p l o t t i n g the i n v e r s e o f the n u m b e r - a v e r a g e m o l e c u l a r w e i g h t ( M * ) o f the p o l y m e r versus the exposure dose (D). A s s h o w n b y e q u a t i o n 7, such a p l o t gives a straight l i n e w i t h a slope that is d i r e c t l y p r o p o r t i o n a l to G . s

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

x

s

n

s

I n e q u a t i o n 7, M ° is the i n i t i a l number-average m o l e c u l a r w e i g h t a n d A N n

is Avogadro's n u m b e r . W h e n b o t h c h a i n scission a n d c r o s s - l i n k i n g occur, the G values for b o t h processes (i.e., G

s

a n d G J can b e d e t e r m i n e d . T h i s

is a c c o m p l i s h e d after m e a s u r i n g changes i n the number-average m o l e c u l a r w e i g h t ( M ) a n d t h e weight-average m o l e c u l a r w e i g h t ( M j a n d t h e n s o l v i n g n

the f o l l o w i n g equations s i m u l t a n e o u s l y :

à

-

έ

+

κ

-

έ

+

( c

-

-

< - G

G

4

-

)

G

D

J

( 8 )

D

<

9)

A g a i n , i n equations 8 a n d 9, D is the c u m u l a t i v e dose a n d the superscript ο reflects the o r i g i n a l m o l e c u l a r weights of the unexposed sample (15, 16). Analysis of Resolution. Resolution defines the a b i l i t y o f the resist to resolve fine lines i n the final p r i n t e d p a t t e r n . A l t h o u g h resolution is h i g h l y d e p e n d e n t o n the c h e m i s t r y o f the resist a n d d e v e l o p e r system, i t is not d e t e r m i n e d solely b y resist materials. D i s t o r t i o n i n d u c e d b y exposure h a r d ­ w a r e is one c u l p r i t of p o o r r e s o l u t i o n . A n o t h e r cause of p o o r r e s o l u t i o n is resist deformation because of t h e r m a l flow that can o c c u r d u r i n g resist p r o c ­ essing. W h e n a resist is h e a t e d above the glass transition t e m p e r a t u r e (Tg) d u r i n g a b a k i n g o r e t c h i n g step, t h e r m a l flow occurs. H i g h - t e m p e r a t u r e treatments are not n o r m a l l y e n c o u n t e r e d , b u t for some processes w i t h p o o r t e m p e r a t u r e c o n t r o l , t h e r m a l flow is unavoidable. T h e s e situations may exist d u r i n g p l a s m a - e t c h i n g , d o p i n g , or deposition steps w i t h temperatures i n

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

335

Microlithography

excess of 200 °C. P o s t d e v e l o p m e n t

treatments that h a r d e n the resist a n d

p r e v e n t t h e r m a l flow have b e e n formulated. T h e resolution o f a resist can be d e t e r m i n e d e i t h e r optically or e l e c t r i cally b y u s i n g special l i n e - w i d t h - m e a s u r i n g e q u i p m e n t o r b y e x a m i n i n g the resist w i t h a scanning electron m i c r o s c o p e (17). C o r r e c t feature size m u s t be m a i n t a i n e d w i t h i n a wafer a n d from wafer to wafer, because d e v i c e p e r formance d e p e n d s o n the absolute size of the p a t t e r n e d structures. T h e t e r m

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

critical dimension ( C D ) refers to a specific feature size a n d is a measure of the resolution of a l i t h o g r a p h i c process. Analysis of Etching Resistance.

A f t e r the p r i n t e d image is f o r m e d ,

resists are often exposed to corrosive or p h y s i c a l l y abusive

environments

d u r i n g subsequent processing steps. F o r example, solutions u s e d for w e t e t c h i n g usually consist o f strong acids or bases. D r y etches often use a n oxygen p l a s m a that r e m o v e s or "ashes" organic materials. C h l o r i n a t e d plasmas u s e d to e t c h a l u m i n u m a n d

fluorinated

plasmas u s e d to e t c h silicon

oxide a n d s i l i c o n n i t r i d e are e x t r e m e l y corrosive to resists. Etching resistance refers to the a b i l i t y of the resist to w i t h s t a n d conditions necessary to transfer the p r i n t e d p a t t e r n to the u n d e r l y i n g film or substrate. N a t u r a l l y , this a b i l i t y is a function o f the resist c h e m i s t r y . I n a d d i t i o n to p h y s i c a l a n d c h e m i c a l stability, the c r i t e r i a for e t c h i n g resistance i n c l u d e adhesion to the substrate. A d h e s i o n is usually m o n i t o r e d qualitatively b y v i s u a l observation.

Resist

adhesion must be m a i n t a i n e d to a variety of substrates i n c l u d i n g metals, insulators such as s i l i c o n dioxide a n d silicon n i t r i d e , a n d other semiconductor materials. T y p i c a l l y , adhesion

promoters

such as

hexamethyldisilazane

( H M D S ) are u s e d p r i o r to resist application (18). Loss of adhesion is less of a p r o b l e m w i t h d r y e t c h i n g than w i t h w e t e t c h i n g , for w h i c h it remains a c r i t i c a l c o n c e r n . H o w e v e r , d r y e t c h i n g places m o r e demands o n resist t h e r m a l a n d radiation stabilities.

Resist Materials L i t h o g r a p h y is a c e n t r a l technology that n o r m a l l y uses p o l y m e r s for s e m i conductor fabrication. T h e p o l y m e r - b a s e d resists must m e e t rigorous r e q u i r e m e n t s : h i g h sensitivity, h i g h contrast, h i g h jT , good e t c h i n g resistance, good r e s o l u t i o n , easy processing, p u r i t y , l o n g shelf life, m i n i m a l solvent use, and reasonable cost. T h e foregoing list of r e q u i r e m e n t s is f o r m i d a b l e . I n reality, a p a r t i c u l a r resist w i l l satisfy these v a r i e d stringent r e q u i r e m e n t s o n l y to a certain extent, w i t h the specific l i t h o g r a p h i c application d i c t a t i n g the acceptable compromises i n m a t e r i a l properties. A s w e enter the g e n eration o f s u b m i c r o m e t e r devices, greater demands are p l a c e d o n each aspect of lithography, i n c l u d i n g resist m a t e r i a l properties. I n this section, resist materials that are u s e d traditionally i n semiconductor l i t h o g r a p h i c processes w i l l be e x a m i n e d , as w e l l as the e m e r g i n g technologies d e d i c a t e d to m a t e r i a l g

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

336

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

a n d process i m p r o v e m e n t s for very-large-scale-integration ( V L S I ) a n d u l t r a -

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

large-scale-integration ( U S L I ) applications.

Optical Resists. Photoresists are e i t h e r negative or positive acting, o n the basis of the f u n d a m e n t a l c h e m i s t r y that takes place u p o n exposure. B o t h types of resists are e x e m p l i f i e d b y w e l l - e s t a b l i s h e d c o m m e r c i a l products c u r r e n t l y u s e d i n the I C i n d u s t r y (19). E x c e p t for d r y - f i l m resists u s e d i n the manufacture of p r i n t e d c i r c u i t boards, photoresists are s u p p l i e d as p r e m i x e d solutions that c o m p l y w i t h p u r i t y standards i m p o s e d b y this i n d u s t r y . W i t h e q u i p m e n t d e s i g n e d for solution processing, photoresists are d i s p e n s e d onto wafer substrates a n d s p u n to f o r m t h i n glassy films that are t y p i c a l l y 0 . 5 - 2 . 0 μπι t h i c k after b a k i n g . S u b s e q u e n t l y , the resists are exposed i n an optical aligner u s i n g a chrome-on-glass mask a n d t h e n d e v e l o p e d to f o r m a p o l y m e r i c r e l i e f film that functions as a mask for further processing of the u n d e r l y i n g substrate.

Negative-Acting Resists. H i s t o r i c a l l y , negative optical resists w e r e the first to be u s e d i n s e m i c o n d u c t o r device fabrication. T h e most c o m m o n l y u s e d negative-acting resists are b i s ( a r y l ) a z i d e - r u b b e r resists, whose matrix resin is c y c l i z e d poly(ds-isoprene), a synthetic r u b b e r . T h e bis(aryl)azide sensitizers (20) lose n i t r o g e n a n d generate a h i g h l y reactive n i t r e n e u p o n photolysis. T h e n i t r e n e i n t e r m e d i a t e t h e n undergoes a series of reactions that result i n the c r o s s - l i n k i n g of the r e s i n a n d the decrease i n the s o l u b i l i t y of irradiated areas i n organic solvents. F o r example, the n i t r e n e can a d d to olefins present i n the r e s i n to p r o d u c e a z i r i d i n e structures, insert into car­ b o n - h y d r o g e n b o n d s to give amines, or d i m e r i z e to give azobenzene units. Because oxygen can interfere w i t h these reactions, a l l exposures are d o n e e i t h e r u n d e r the p r o t e c t i o n of a n i t r o g e n blanket or i n v a c u u m . T h e bis(aryl)azide sensitizers m u s t be soluble i n the r e s i n , t h e r m a l l y stable, a n d sensitive to the d e s i r e d w a v e l e n g t h of light. A c o m m o n l y u s e d c o m p o u n d is 2,6-bis(4-azidobenzylidene)cyclohexanone, w h i c h absorbs at 360 n m (see structure). C o n j u g a t i o n extension a n d other s t r u c t u r a l changes can shift the absorption m a x i m u m to longer wavelengths a n d allow access to the other m e r c u r y lines at 405 a n d 436 n m . A n u m b e r of bis(aryl)azides are efficient photosensitizers (21). Q u a n t u m y i e l d s , defined as the n u m b e r

2,6-Bis(4-azidobenzylidene)cyclohexanone,

a negative-resist sensitizer

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

of events t r i g g e r e d b y the absorption of each p h o t o n , range b e t w e e n

337 0.4

a n d 1.0 for these examples. A major l i m i t a t i o n of negative resists is poor resolution r e s u l t i n g f r o m film s w e l l i n g d u r i n g d e v e l o p m e n t . S w e l l i n g is caused b y solvent uptake i n the c r o s s - l i n k e d p o l y m e r n e t w o r k . T h e l i n e w i d t h l i m i t of these materials is ~ 3 μιτι. T h i s fact, c o u p l e d w i t h a g r o w i n g i n d u s t r i a l t r e n d away f r o m organic solvents, favors the use of positive resists, w h i c h use water-based developers,

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

i n h i g h - d e n s i t y - d e v i c e applications. H o w e v e r , because they r e q u i r e o n l y small amounts of expensive sensitizers, m a n y negative resists cost substan­ tially less than t h e i r positive counterparts. A l s o , negative resists sometimes have greater process latitude. T h e s e facts result i n a c o n t i n u i n g d o m i n a n c e of negative photoresists i n the p r o d u c t i o n of low-cost, h i g h - v o l u m e chips (22). M o r e - r e c e n t d e v e l o p m e n t s have e n h a n c e d the resolution capabilities of bis(aryl)azide-based negative resists. F o r example, a second-generation v e r ­ sion that is developable i n organic solvent has b e e n r e p o r t e d . T h i s v e r s i o n uses a p r o p r i e t a r y p o l y m e r i c system that greatly d i m i n i s h e s s w e l l i n g a n d allows 1.25-μπι r e s o l u t i o n (23). A l s o , excellent resolution has b e e n a c h i e v e d w i t h systems c o m b i n i n g diazides w i t h aqueous-base-soluble p h e n o l i c resins. A g a i n , the e n h a n c e d resolution is a result of the use of these n o n s w e l l i n g p o l y m e r s (24). Positive-Acting Resists. Positive resists have gained p o p u l a r i t y i n r e ­ cent years m a i n l y because of t h e i r s u p e r i o r r e s o l u t i o n p o t e n t i a l a n d also because of t h e i r b e t t e r e t c h i n g resistance a n d t h e r m a l stability. D i a z o n a p h t h o q u i n o n e ( D N Q ) - n o v o l a c - b a s e d resists represent the w o r k h o r s e of the i n d u s t r y . T h e s e resists are c o m p o s e d of an aqueous-base-soluble novolac r e s i n , w h i c h is p r e p a r e d v i a the acid-catalyzed p o l y m e r i z a t i o n of cresols w i t h f o r m a l d e h y d e , a n d a sensitizer, D N Q , w h i c h is base i n s o l u b l e (Scheme I). T h e sensitizer is present i n sufficient q u a n t i t y (usually 1 5 - 2 0 % b y w e i g h t of resin) to drastically i n h i b i t the dissolution of the novolac i n aqueous alkali solutions. U p o n photolysis, D N Q loses n i t r o g e n to give a carbene, w h i c h s u b s e q u e n t l y undergoes a Wolff rearrangement to y i e l d a h i g h l y reactive ketene (25). U n d e r n o r m a l conditions, the ketene can t h e n react w i t h w a t e r present i n the r e s i n to f o r m base-soluble i n d e n e c a r b o x y l i c a c i d ( I C A ) . T h u s , the i r r a d i a t e d areas of the resist are q u i t e soluble i n d e v e l o p e r solution (typically a 0 . 0 5 - 0 . 5 Ν aqueous solution of K O H , N a O H , t e t r a a l k y l a m m o n i u m h y d r o x i d e , or other organic bases). A l t h o u g h D N Q - n o v o l a c systems w e r e first u s e d m o r e that 40 years ago i n the p r i n t i n g - p l a t e i n d u s t r y , they c o n t i n u e to be the focus of significant interest. F o r example, several recent studies have addressed the o p t i m i ­ zation of novolac properties t h r o u g h m a n i p u l a t i o n of m o l e c u l a r w e i g h t , iso­ m e r i c structure of the p h e n o l i c starting materials, a n d m e t h y l e n e b o n d position (26-28). T h e s e changes are r e p o r t e d to influence resist sensitivity, contrast, a n d process latitude. Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

338

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

ICA Scheme I. Photolysis of a diazonaphthoquinone (DNQ) positive-resist sensitizer. The reaction leads to a carbene (I), which undergoes a Wolff rearrangement to give a ketene (2). Finally, this ketene can react with water present in the resin to give an indenecarboxylic acid (ICA) (3).

R e s e a r c h has c o n t i n u e d also i n the area o f sensitizer o p t i m i z a t i o n . A n example o f a recent finding i n this area is the so-called " p o l y p h o t o l y s i s " p h e n o m e n o n (29, 30). Polyphotolysis refers to e n h a n c e d r e s o l u t i o n a c h i e v e d t h r o u g h the attachment of m u l t i p l e D N Q sensitizer groups to a c e n t r a l ballast m o l e c u l e . D u r i n g i r r a d i a t i o n , each o f the D N Q groups is s e q u e n t i a l l y a n d i n d e p e n d e n t l y c o n v e r t e d to an I C A p h o t o p r o d u c t group (Scheme II). M a x i m u m r e s o l u t i o n e n h a n c e m e n t is a c h i e v e d u n d e r conditions i n w h i c h the totally p h o t o l y z e d m a t e r i a l has a large effect o n the d i s s o l u t i o n rate, whereas the i n t e r m e d i a t e forms c o n t a i n i n g b o t h D N Q a n d I C A units have v e r y little influence o n d e v e l o p m e n t . A n o t h e r example of variation i n D N Q structure was p r o m p t e d b y the advent of i - l i n e (365-nm) steppers. T h e s e exposure tools are capable of greater r e s o l u t i o n c o m p a r e d w i t h t h e i r g-line (436-nm) counterparts because

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

DNQ

Resists in

339

Microlithography

DNQ

DNQ DNQ

DNQ ICA

hv Ballast

Ballast

H 0 2

hv

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

CA

ICA

ICA hv

Ballast

H 0 2

H 0 2

DNQ

ICA

ICA

I

I

I

Ballast

Scheme II. Sequential photolysis of DNQ groups attached to a central ballast molecule during polyphotolysis. Maximum resolution enhancement occurs when the totally photolyzed material controls the dissolution rate. of the use o f shorter w a v e l e n g t h radiation. H o w e v e r , most t y p i c a l positive resists are not o p t i m i z e d for this w a v e l e n g t h . A n i m p r o v e m e n t i n p e r f o r m ance at t h e i l i n e has b e e n a c c o m p l i s h e d t h r o u g h t h e use o f 2,1,4 isomers of D N Q instead o f the m o r e t y p i c a l 2,1,5 c o m p o u n d s (31) (see structures). F u r t h e r i m p r o v e m e n t s i n resist performance have i n v o l v e d t h e use o f special additives. F o r e x a m p l e , antistriation agents a n d plasticizers have b e e n u s e d to i m p r o v e film quality. O t h e r additives that are sometimes u s e d i n c l u d e adhesion promoters, speed enhancers, a n d n o n i o n i c surfactants. O r ganic dyes are useful i n t h e c o n t r o l o f scalloped resist profiles r e s u l t i n g from reflective interference o r the so-called standing-wave effect (32, 33). A recent p a p e r (34) r e p o r t e d that dyes that contain organic acid groups c a n also i m prove t h e resist side w a l l angle t h r o u g h formation of a less d e v e l o p e r - s o l u b l e skin o n t h e surface o f the film d u r i n g soft bake. A n o t h e r m e t h o d o f i m p r o v i n g the resolution capability o f a resist is t h r o u g h o p t i m i z a t i o n o f processing conditions. A m o r e i n - d e p t h discussion of processing follows; h o w e v e r , some special processing conditions u s e d w i t h D N Q - n o v o l a c resists w i l l b e m e n t i o n e d n o w . A s i n d i c a t e d earlier, a postexposure bake step is u s e d sometimes to m i n i m i z e standing waves. Resist profiles b e c o m e smooth d u r i n g h e a t i n g because o f the diffusion of unexposed

S0 R 3

2,1,4 DNQ

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

340

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

D N Q from regions of h i g h concentration to regions of l o w concentration at the edges of the exposed areas (5). T h e application of a d e e p - U V

flood

exposure d u r i n g a postbake step can increase contrast, again b y the f o r m a t i o n of a less base-soluble surface s k i n (35). A n o t h e r m e t h o d of i n c r e a s i n g resist performance t h r o u g h the alteration of processing conditions involves the use of high-contrast developers (36). These developers are typically m o r e - d i l u t e aqueous-base solutions that i m -

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

p r o v e selectivity b e t w e e n exposed a n d unexposed areas of the resist. U n fortunately, the high-contrast developers also t e n d to increase the t i m e r e q u i r e d for d e v e l o p m e n t . Special Modifications of DNQ-Novofoc Resists. A t e c h n i q u e has b e e n d e v e l o p e d that allows D N Q - n o v o l a c positive resists to be i m a g e d i n the negative m o d e . Resist image reversal exploits the fact that the I C A p h o t o products can be decarboxylated i f they are heated i n the presence of bases such as i m i d a z o l e or amines (37, 38). T h u s , i f a positive resist is exposed, treated w i t h a base, a n d t h e n postbaked, the I C A groups are decarboxylated a n d c o n v e r t e d to aqueous-base-insoluble indenes that act as novolac dissol u t i o n i n h i b i t o r s (Scheme III). A subsequent flood exposure transforms the D N Q sensitizer r e m a i n i n g i n the p r e v i o u s l y unexposed resist to I C A , so that these regions b e c o m e soluble i n d e v e l o p e r . T h e net result is a negative image of the mask. Image reversal of positive resists p r o v i d e s greater reso l u t i o n , t h e r m a l stability, a n d a r e d u c t i o n of standing-wave effects (39). R e c e n t l y , o t h e r t h e r m a l l y i n d u c e d image-reversal processes have b e e n

S0 R 3

Indene Scheme III. Decarboxylation of indenecarboxylic acid (ICA) photoproducts during image reversal. The process involves treatment with base to form a carboxylate salt, followed by baking to generate ultimately a base-insoluble indene.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

7.

O ' B R I E N & SOANE

Resists in

Microlithography

341

d e s c r i b e d that do not r e l y o n decarboxylation of p h o t o l y z e d sensitizers (40, 41). Instead, the m e c h a n i s m of image reversal i n these examples involves the acid-catalyzed c r o s s - l i n k i n g of the r e s i n d u r i n g postbake. F o r example, an image-reversal system c o m p o s e d of a 2,1,4 D N Q sensitizer, novolac resin(s), a n d a t h e r m a l c r o s s - l i n k i n g agent has b e e n r e p o r t e d (41). Photolysis of the 2,1,4 D N Q sensitizer produces an acid that is stronger than that generated b y the 2,1,5 isomers. P o s t b a k i n g allows this a c i d , i n c o m b i n a tion w i t h the c r o s s - l i n k i n g agent, to substantially decrease the aqueous-base solubility of the novolac. A s i n the traditional image-reversal scheme, a flood exposure is t h e n used to generate a c i d from D N Q i n the p r e v i o u s l y unexposed areas. T h u s , d e v e l o p m e n t provides a negative image of the mask. A n o t h e r t e c h n i q u e that has b e e n a p p l i e d to D N Q - n o v o l a c resists is the so-called D E S I R E (diffusion-enhanced silylating resist) process (42). I n this scheme, the resist is exposed a n d t h e n treated w i t h a silylating agent such as H M D S . U n d e r the appropriate conditions, the silylating agent can react selectively w i t h the novolac r e s i n i n the exposed areas. T h e m e c h a n i s m b y w h i c h D N Q i n h i b i t s silylation whereas I C A allows it is not w e l l understood. N o n e t h e l e s s , because the i r r a d i a t e d areas are silylated, they b e c o m e r e sistant to e t c h i n g w i t h an oxygen p l a s m a because of the formation of a silicon oxide layer. C o n s e q u e n t l y , an oxygen plasma can be u s e d as a d e v e l o p e r to etch away the unexposed (nonsilylated) resist. O n c e again, the net result is the formation of a negative-tone image i n a positive resist. T h i s scheme possesses several distinct advantages over c o n v e n t i o n a l processing. F o r e x a m p l e , the fact that o n l y the surface needs to be exposed, c o u p l e d w i t h the anisotropic nature of plasma e t c h i n g , allows the use of thick resist layers that can planarize the u n d e r l y i n g topography to a h i g h e r degree than resist films w i t h t y p i c a l thicknesses can. Loss of d e p t h of focus because of the use of h i g h - N A lenses is also less of an issue, because o n l y the surface needs to b e i m a g e d . A n o t h e r i n n o v a t i o n , k n o w n as contrast enhancement, extends the p r a c tical resolution of optical l i t h o g r a p h y (43-45). C o n t r a s t e n h a n c e m e n t uses photobleachable materials i n conjunction w i t h standard photoresists to i n crease the contrast of i l l u m i n a t i o n that reaches the resist. A h i g h l y a b s o r b i n g b u t photobleachable dye layer (contrast e n h a n c e m e n t layer or C E L ) is s p u n o n top of a c o n v e n t i o n a l positive resist. T h i s d y e layer is t y p i c a l l y c o m p o s e d of a d i a r y l n i t r o n e dissolved i n a matrix r e s i n . A s the system is exposed to the projected mask image, the top layer is gradually c o n v e r t e d f r o m an opaque (strongly absorbing) coating to a transparent (nonabsorbing) coating v i a p h o t o c h e m i c a l conversion of the n i t r o n e to an oxaziridine (Scheme I V ) . T h e b l e a c h i n g rate of the n i t r o n e is g o v e r n e d b y the c u m u l a t i v e i n c i d e n t radiation dose. E d g e s of the projected mask features w h e r e l i g h t interference creates intensity shoulders b l e a c h slowly. M e a n w h i l e , the intensity peaks have e n o u g h t i m e to bleach locally the entire thickness of the C E L . H e n c e ,

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

342

MICROELECTRONICS PROCESSING: C H E M I C A L E N G I N E E R I N G ASPECTS

Î

Ar-C=N-Ar" H

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007



A

Ar-C-N-Ar H

Nitrone Scheme IV. Photobleaching

hv

Oxaziridine

of a diarylnitrone to an oxaziridine in contrastenhancement materials.

areas exposed to interference m a x i m a b e c o m e transparent, whereas areas c o r r e s p o n d i n g to interference fringes r e m a i n protected b y t h e C E L . T h e net result is that the resist l a y e r receives a n exposure p a t t e r n that is sharper than that t r a n s m i t t e d t h r o u g h the aligner optics. T h e r e f o r e , t h e contrast is i m p r o v e d over w h a t i t w o u l d b e w i t h o u t the C E L . A n example o f the successful application o f this concept is the recent report that high-contrast 0.5μπι lines a n d spaces can b e p r i n t e d o n a n i - l i n e stepper b y u s i n g a c o m m e r c i a l contrast e n h a n c e m e n t m a t e r i a l (46), Trade-offs i n the use o f C E L materials are longer exposure t i m e s , a d d i t i o n a l processing steps, a n d , at t i m e s , p r o b ­ lems o f c o m p a t i b i l i t y b e t w e e n dyes a n d resists. D e e p - U V Photoresists. Single-Component Resists. Because a v a ­ riety of p o l y m e r s can absorb energetic d e e p - U V photons, m a n y o f the resists u s e d i n this w a v e l e n g t h r e g i o n are single c o m p o n e n t , that is, t h e y are c o m ­ posed o f a p o l y m e r d i s s o l v e d i n solvent w i t h o u t a sensitizer. P o l y m e r i c c h a i n scission (degradation) o r c r o s s - l i n k i n g reactions occur u p o n U V i r r a d i a t i o n and change t h e s o l u b i l i t y o f the exposed resist i n t h e developer. A s m e n ­ t i o n e d earlier, resist sensitivity is a n i m p o r t a n t issue because o f the l o w intensity of c o n v e n t i o n a l H g arc lamps i n this w a v e l e n g t h r e g i o n . I n g e n e r a l , e-beam resists, t h e most c o m m o n o f w h i c h is p o l y ( m e t h y l methacrylate) ( P M M A ) , have b e e n used i n this capacity (47). P M M A shows m a x i m u m sensitivity at 2 2 0 n m a n d is insensitive above 260 n m . D e v e l o p m e n t w o r k has focused o n p r o d u c i n g materials that absorb at 2 3 0 - 2 8 0 n m , t h e range at w h i c h l a m p o u t p u t is h i g h e r . A t t e m p t s to i m p r o v e P M M A sensitivity i n c l u d e the use o f copolymers o f m e t h y l methacrylate a n d the r e p l a c e m e n t of side chains. A l l o f these changes result i n positive-acting resists (48). O n e m o d i f i e d P M M A - t y p e resist is p o l y ( m e t h y l i s o p r o p e n y l ketone) ( P M I P K ) (49, 50); its photosensitivity is five times h i g h e r than that o f P M M A . T h e poly(olefin sulfone) f a m i l y also has p r o d u c e d a series o f d e e p - U V resists, despite the fact that standard materials i n this class, such as p o l y ( b u t e n e - l sulfone), do n o t absorb l i g h t above 215 n m . Therefore, to make these m a ­ terials functional i n this w a v e l e n g t h range, photosensitizers have b e e n a d d e d (51); for instance, poly(olefin sulfone)s have b e e n m i x e d w i t h novolac resins (51), o r aromatic groups have b e e n attached to t h e olefinic p o r t i o n o f the p o l y m e r (52).

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

343

Microlithography

e - B e a m epoxy-based resists such as p o l y ( g l y c i d y l methacrylate) ( P G M A ) have b e e n u s e d also i n this a p p l i c a t i o n (53). A l t h o u g h P G M A n o r m a l l y b e ­ haves as a negative e-beam resist, positive-tone i m a g i n g is o b s e r v e d u n d e r d e e p - U V radiation. I n this case, the c h e m i s t r y of the methacrylate group is responsible for the photoresponse. A s an e-beam resist, P G M A ring-opening

Two-Component Resists. Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

undergoes

p o l y m e r i z a t i o n of the epoxy m o i e t y .

T y p i c a l two-component

D N Q - n o v o l a c pho­

toresists are not w e l l suited for use i n the d e e p U V because of the strong u n r e a c h a b l e absorbance of the novolac a n d sensitizer photoproducts

below

300 n m . T h e r e f o r e , the optical density of these materials is v e r y h i g h i n the d e e p - U V a n d it does not decrease (bleach) w i t h exposure. A t doses that a l l o w light to penetrate to the bottom of the resist, the top of the film is overex­ posed, a n d s l o p e d profiles are p r o d u c e d . M a n y modifications of this basic c h e m i s t r y have b e e n e x p l o r e d to tailor these resists to d e e p - U V radiation. F o r example, changes have b e e n m a d e i n the sensitizer so that it bleaches i n this w a v e l e n g t h r e g i o n . E a r l y w o r k i n this area was p e r f o r m e d o n d i a z o - M e l d r u m ' s a c i d (54) (see structure). T h i s c o m p o u n d f u n c t i o n e d as a d e e p - U V - b l e a c h a b l e dissolution i n h i b i t o r ; h o w ­ ever, it was somewhat volatile a n d , consequently, c o u l d b e d e p l e t e d v i a evaporation d u r i n g soft bake. M o r e - r e c e n t studies have therefore focused o n less-volatile sensitizers i n c o r p o r a t i n g heteroatom substitution (55) a n d o n increases i n m o l e c u l a r w e i g h t (56). Research has also b e e n a i m e d at the d e v e l o p m e n t o f more-transparent base-soluble m a t r i x resins. F o r example, novolacs p r e p a r e d from p u r e p cresol absorb less strongly at 250 n m t h a n do t y p i c a l photoresist novolacs c o n t a i n i n g a m i x t u r e o f cresol isomers. U n f o r t u n a t e l y , p-cresol novolac is o n l y sparingly soluble i n aqueous base a n d has l i m i t e d usefulness (28, 57). O t h e r examples of more-transparent matrix resins i n c l u d e p o l y ( d i m e t h y l glutarimide) ( P M G I ) (58) a n d c o p o l y m e r s o f m e t h y l methacrylate ( M M A ) and methacrylic acid ( M A A ) [ P ( M M A - M A A ) ] . D e e p - U V resists have also b e e n p r e p a r e d b y changing b o t h sensitizer a n d matrix r e s i n . F o r e x a m p l e , materials c o m b i n i n g o - n i t r o b e n z y l ester d e ­ rivatives of cholic a c i d w i t h a P ( M M A - M A A ) matrix r e s i n (Scheme V) have b e e n r e p o r t e d (59-61). U p o n photolysis, the n i t r o b e n z y l ester dissolution

Ν

Diazo-Meldrum's

acid, a deep-UV bleachable sensitizer

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

344

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Scheme V. Photolysis of o-nitrobenyl ester to generate a carboxylic

acid.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

i n h i b i t o r is c o n v e r t e d to a c h o l i c a c i d d e r i v a t i v e , a n d t h e i r r a d i a t e d areas o f t h e resist are c h a n g e d to a n aqueous-base-soluble f o r m . T h e oxygen-plasmae t c h i n g resistance o f this system has b e e n i m p r o v e d r e c e n t l y b y c h a n g i n g the matrix r e s i n to a s i l i c o n e - s u b s t i t u t e d P ( M M A - M A A ) p o l y m e r (62). Resists Based on Chemical Amplification.

I n a patent issued i n 1973,

S m i t h a n d B o n h a m r e p o r t e d the p r e p a r a t i o n of positive resist materials c o m p o s e d o f a w a t e r - i n s o l u b l e organic c o m p o u n d c o n t a i n i n g a c i d - l a b i l e groups (such as acetals) i n c o m b i n a t i o n w i t h a m a t e r i a l capable of g e n e r a t i n g an a c i d u p o n photolysis (63). T h e a c i d - l a b i l e functionality of the organic c o m p o u n d was i n c o r p o r a t e d i n t o a p o l y m e r i c system b y attaching i t e i t h e r d i r e c t l y to t h e p o l y m e r b a c k b o n e or p e n d a n t to the m a i n p o l y m e r c h a i n . A l t e r n a t i v e l y , n o n p o l y m e r i c a c i d - l a b i l e materials w e r e u s e d . H o w e v e r , i n this case, a suitable b i n d e r r e s i n was also r e q u i r e d to facilitate film f o r m a t i o n . T h e photosensitive c o m p o n e n t o f these resists was the a c i d generator. F o r e x a m p l e , t r i h a l o m e t h y l - s u b s t i t u t e d s-triazines, w h i c h u p o n photolysis g e n erate H X , w e r e u s e d . T h i s s t r o n g a c i d t h e n acts as a catalyst i n the " d a r k " or " n o n p h o t o c h e m i c a l " h y d r o l y s i s o f the a c i d - l a b i l e groups. T h u s , the i r r a d i a t e d areas, w h e r e catalyst is g e n e r a t e d , b e c o m e m o r e s o l u b l e i n the d e veloper. S u b s e q u e n t l y , a resist system was r e p o r t e d that is c o m p o s e d o f p o l y m e r s c o n t a i n i n g r e c u r r e n t a c i d - l a b i l e p e n d a n t groups i n c o m b i n a t i o n w i t h an a r y l o n i u m s a l t - a c i d photogenerator (64-67). A n example o f the t y p e o f p o l y m e r u s e d i n this w o r k is poly(p-terf-butyloxycarbonyloxystyrene) ( f - B O C - s t y rene). T h e c h e m i s t r y i n v o l v e d i n the p r o c e s s i n g o f these materials is i l l u s t r a t e d i n Scheme V I . First, d e e p - U V irradiation of either a triarylsulfonium or d i a r y l i o d o n i u m salt results i n t h e g e n e r a t i o n o f a n e x t r e m e l y strong p r o t o n i c a c i d . D u r i n g a postexposure bake step, this a c i d c a n catalytically r e m o v e the a c i d - l a b i l e groups to c o n v e r t the p o l y m e r to a m u c h m o r e p o l a r f o r m [for e x a m p l e to poly(4-hydroxystyrene)]. D e v e l o p m e n t w i t h a p o l a r solvent allows selective d i s s o l u t i o n o f the i r r a d i a t e d areas, a n d p o s i t i v e - t o n e images are generated. A l t e r n a t i v e l y , n o n p o l a r d e v e l o p e r s can b e u s e d to s e l e c t i v e l y r e m o v e u n i r r a d i a t e d m a t e r i a l a n d negative-tone images are g e n e r a t e d . T h e r e f o r e , a single resist latent image can b e processed to p r o d u c e a p o l y m e r i c r e l i e f i m a g e of e i t h e r tone b y a p p r o p r i a t e selection o f d e v e l o p e r m e d i a . T h e fact that o n l y a catalytic a m o u n t of a c i d n e e d s to be p h o t o g e -

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

7.

O'BRIEN & SOANE

Resists in

345

Microlithography

8

OC0 t~Bu 2

OH

Scheme VI. Processing of poly(t-BOC-sty rene)-onium salt resists. The steps are (1) photogeneration of an extremely strong protonic acid from a triarylsulfonium or diaryliodonium salt and (2) baking, which allows acid-catalyzed deblocking of the t-BOC groups. Thus, irradiated areas of the polymer are converted to a much more polar form. nerated to deblock a large n u m b e r of acid-labile groups d u r i n g postbake makes these materials h i g h l y photosensitive. T h e process b y w h i c h e n h a n c e d photosensitivity is a c h i e v e d t h r o u g h the use of l i g h t to generate a catalyst is chemical amplification. M a t e r i a l s based o n this c h e m i s t r y are capable of e x t r e m e l y h i g h r e s o l u t i o n . I n t u i t i v e l y , one m i g h t p r e d i c t that the r e s o l u t i o n of a resist i n w h i c h a catalyst is f o r m e d and t h e n undergoes a large n u m b e r of subsequent reactions m i g h t be l i m i t e d because of the m i g r a t i o n of the catalyst to unexposed areas. H o w e v e r , recent reports have s h o w n that e-beam exposure of resists based o n the acid-cata l y z e d d e b l o c k i n g of f - B O C - s t y r e n e p o l y m e r s are capable of p r i n t i n g l i n e w i d t h s as n a r r o w as 18 n m (68). R e c e n t l y , m a n y similar systems have b e e n r e p o r t e d i n the l i t e r a t u r e . E x a m p l e s i n c l u d e acid-photogenerating c o m p o u n d s i n c o m b i n a t i o n w i t h tB O C - p r o t e c t e d m a l e i m i d e o r h y d r o x y p h e n y l m a l e i m i d e copolymers (69, 70), f e r f - b u t y l ethers of p h e n o l i c resins (71), s i l y l a t e d p h e n o l i c resins (72, 73), and polycarbonates that contain acid-labile linkages i n t h e i r backbone (74, 75). O n i u m - s a l t - p h o t o g e n e r a t e d acid has also b e e n u s e d i n another t w o c o m p o n e n t system to catalytically d e p o l y m e r i z e p o l y p h t h a l a l d e h y d e (76). S e v e r a l groups have investigated t h r e e - c o m p o n e n t systems encompassi n g b o t h c h e m i c a l amplification a n d dissolution i n h i b i t i o n . A s stated e a r l i e r , S m i t h a n d B o n h a m (63) r e p o r t e d resist materials c o m p o s e d of a b i n d e r r e s i n (novolac), a n o n p o l y m e r i c c o m p o u n d c o n t a i n i n g acid-labile functional groups such as acetals, a n d a t r i h a l o m e t h y l - s u b s t i t u t e d s-triazine a c i d photogenerator. T h e acid-labile c o m p o u n d acts as a novolac dissolution i n h i b i t o r i n a m a n n e r analogous to the action of D N Q i n c o n v e n t i o n a l positive resists. H o w e v e r , i n this case, the i n h i b i t o r is not p h o t o c h e m i c a l l y active. Instead,

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

346

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

irradiation of the s-triazine d e r i v a t i v e generates an a c i d that can t h e n catalyze the hydrolysis o f the dissolution i n h i b i t o r to a n agueous-base-soluble f o r m . R e c e n t l y , t h e use o f n o n p o l y m e r i c t e r t - b u t y l esters, a r y l f e r i - b u t y l car­ bonates, a n d a r y l tert-butyl

ethers as novolac dissolution i n h i b i t o r s i n s i m i l a r

resist materials u s i n g o n i u m salt photoinitiators was investigated (77). Resist materials based o n this c h e m i s t r y w i t h t h e di-tert-butyl

carbonate o f b i s -

p h e n o l A as dissolution i n h i b i t o r (78) have also b e e n r e p o r t e d . U n l i k e t h e acetal-type a c i d - l a b i l e c o m p o u n d s , these n e w materials r e q u i r e a bake step Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

after photogeneration o f a c i d to convert t h e i n h i b i t o r s to a n aqueous-basesoluble f o r m . Interestingly, these resists function as v e r y sensitive p o s i t i v e tone materials, despite t h e fact that previous w o r k has s h o w n that t h e s o l ­ u b i l i t y o f novolacs i n aqueous base can b e substantially decreased b y b a k i n g t h e m i n t h e p r e s e n c e o f onium-salt-photogenerated

acids (79). A l s o signifi­

cant is the fact that although these resists are based o n novolac a n d therefore still possess substantial u n r e a c h a b l e absorbance b e l o w 300 n m , c h e m i c a l amplification o f t h e p h o t o c h e m i s t r y allows high-contrast i m a g i n g . I n fact, O ' B r i e n a n d C r i v e l l o (77) demonstrated that t h e i r resists w e r e capable o f s u b m i c r o m e t e r i m a g i n g w h e n u s e d w i t h a d e e p - U V e x c i m e r laser i m a g i n g tool. O t h e r t h r e e - c o m p o n e n t systems based o n this c h e m i s t r y have m a d e use of the f o l l o w i n g acid-labile dissolution i n h i b i t o r s : p o l y p h t h a l a l d e h y d e (80), ketals o f β-ketoesters (81), a n d c o m p o u n d s c o n t a i n i n g C - O - S i b o n d s (82). S i m i l a r resists have also b e e n u s e d w i t h other radiation sources; these w i l l be discussed i n subsequent sections. O n e final example o f t h e application o f o n i u m salt p h o t o c h e m i s t r y i n positive resist materials s h o u l d b e m e n t i o n e d , because i t does n o t i n c l u d e any postexposure acid-catalyzed processes a n d therefore does n o t encompass the p r i n c i p l e o f c h e m i c a l amplification (79). Interestingly, N e w m a n (79) has d e t e r m i n e d that o n i u m salts themselves can i n h i b i t the dissolution of novolac i n aqueous base a n d that i r r a d i a t i o n o f such a n o n i u m s a l t - n o v o l a c resist restores t h e s o l u b i l i t y o f the r e s i n i n d e v e l o p e r a n d leads to a positive-tone image. I n this a p p l i c a t i o n , t h e o n i u m salt behaves l i k e diazonaphthoquinone i n a t y p i c a l positive resist. R e c e n t l y , Ito (80) has r e p o r t e d also t h e use o f o n i u m salts as novolac dissolution i n h i b i t o r s .

e-Beam Resists.

A w i d e variety o f materials that have b e e n i n v e s ­

tigated as e-beam a n d X - r a y resists have s p a w n e d a large a m o u n t of literature o n these topics (14, 83-85). C u r r e n t l y , n u m e r o u s c o m m e r c i a l e-beam resists are p r o d u c e d for mask m a k i n g a n d direct w r i t e applications b y U . S . a n d Japanese companies (85, 86). B o m b a r d m e n t o f p o l y m e r s b y electrons causes b o n d breakage. T h e free radical o r i o n i c sites thus generated activate subsequent scission o r crossl i n k i n g reactions. Because a l l p o l y m e r s are susceptible to e l e c t r o n - i n d u c e d reactions, essentially a n y m a t e r i a l c a n , i n p r i n c i p l e , function as a resist.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

However, negative). results i n polymeric

Resists in

347

Microlithography

the i m p o r t a n t considerations are sensitivity a n d tone (positive o r W h e n covalent bonds are f o r m e d , the increase i n m o l e c u l a r w e i g h t negative-acting resists. A m o l e c u l a r w e i g h t decrease because o f backbone scissions produces positive-acting resists.

A large n u m b e r o f p o l y m e r s have b e e n e x p l o r e d for t h e i r suitability as e-beam resists. P M M A , the first r e p o r t e d e-beam resist, has b e e n s t u d i e d exhaustively (87, 88). Its degradation pattern leads to the e v o l u t i o n o f s m a l l m o l e c u l a r fragments that create voids a n d microscopic damage, w h i c h f u r t h e r enhance the rate o f dissolution o f the exposed regions. H e n c e , the d e v e l o p m e n t b e h a v i o r of P M M A cannot be e x p l a i n e d m e r e l y b y m o l e c u l a r w e i g h t r e d u c t i o n . P M M A is an inexpensive p o l y m e r w i t h a moderate T (114 °C) a n d h i g h resolution capability. U n f o r t u n a t e l y , it is not v e r y sensitive ( G = 1.3 at a n acceleration o f 20 k e V ) , a n d its resistance to p l a s m a e t c h i n g is considerably l o w e r than that o f t y p i c a l novolac-based materials. T h e s e d é ficiences have s p a w n e d the search for P M M A variants as resists (89). g

s

G e n e r a l l y , t h e variants can b e g r o u p e d into three major categories: c o p o l y m e r s , ester-group-substituted variants, a n d alpha-substituted v a r i ants. F o r example, a t e r p o l y m e r resist consisting of three m o n o m e r s , m e t h y l methacrylate, m e t h a e r y l i c a c i d , a n d methaerylie a n h y d r i d e , was d e v e l o p e d (90). T h e G value for this m a t e r i a l is 4.5 a n d the sensitivity is 10 pC/em at 20 k V , an i m p r o v e m e n t o v e r P M M A . H a l o g e n a t i o n of the side c h a i n w i t h fluorine i m p r o v e s some resist properties. F o r example, poly(hexafluorobutyl methacrylate) d e m o n s t r a t e d h i g h sensitivity; h o w e v e r , adhesion was d e creased (91). A n i m p r o v e m e n t i n adhesion a n d e t c h i n g resistance was m a d e w i t h p o l y ( d i m e t h y l t e t r a f l u o r o p r o p y l methacrylate) b u t , unfortunately, at the expense of sensitivity (92). T h e s e halogenated variants illustrate some of the unavoidable trade-offs o f c h e m i c a l s t r u c t u r i n g that plague designers o f p o s itive e-beam resists. A n o t h e r example o f these trade-offs is the inverse r e lationship b e t w e e n d r y - e t c h i n g d u r a b i l i t y a n d resist sensitivity that has b e e n o b s e r v e d i n methacrylate-based materials (93). T h e i n c o r p o r a t i o n of e l e c t r o n w i t h d r a w i n g groups, such as n i t r i l e , tends to increase sensitivity, p r e s u m a b l y b y w e a k e n i n g the m a i n c h a i n b o n d s a n d thus facilitating degradation (94). 2

s

T h e second class o f positive-acting e-beam-sensitive p o l y m e r s consists of the poly(olefin sulfone)s (95, 96). D e g r a d a t i o n begins w i t h the generation of radical cations a n d leads finally to the e x p u l s i o n of sulfur d i o x i d e (97, 98). T h e s e materials d e r i v e h i g h sensitivity from the selective cleavage o f t h e i r relatively weak carbon-sulfur bonds. F o r example, t h e sensitivity o f p o l y ( b u t e n e - l - s u l f o n e ) ( P B S ) is 1.6 μθ/cm at 2 0 k V . U n f o r t u n a t e l y , poly(olefin sulfone)s are also sensitive to p l a s m a - e t c h i n g conditions. H o w ­ ever, this deficiency has b e e n greatly d i m i n i s h e d b y the use of p o l y ( 2 - m e t h y l 1-pentene sulfone) as a dissolution i n h i b i t o r i n novolac resins (99, 100). T h e sensitivity o f these so-called N P R (novolac positive resist) materials is 3 - 5 μ ΰ / ο π ι at 20 k V . C o n s e q u e n t l y , this system maintains the h i g h sensitivity of poly(olefin sulfone)s w i t h the e n h a n c e d resistance to p l a s m a e t c h i n g o f the novolac r e s i n . ç j , ^ , 2

2

Library 1155 16th St, N.w. Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical O.C. Society: Washington, DC, 1989. Washington, 20036

348

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

D N Q - n o v o l a c positive resists have b e e n u s e d also w i t h e-beam expo­ sure. T h e 2,1,4 D N Q isomers give s u p e r i o r performance i n these a p p l i c a ­ tions (101). T h e e-beam sensitivity of these materials is ~ 4 0 μ Ο / α η . 2

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

A s was m e n t i o n e d p r e v i o u s l y , resists based o n the acid-catalyzed d e ­ b l o c k i n g of p o l y ( i - B O C - s t y r e n e ) have b e e n u s e d also as e-beam resists (68). I n fact, these materials are capable of < 4 0 - n m resolution i n b o t h the positive a n d negative modes. T h e sensitivity o f these resists is six times that of PMMA. I n the area o f negative resists, a c o p o l y m e r of g l y c i d y l methacrylate a n d e t h y l acrylate ( C O P ) has b e e n d e v e l o p e d (102, 103). T h i s resist has b e e n u s e d i n mask manufacture. P o l y ( g l y c i d y l methacrylate) h o m o p o l y m e r s are also b e i n g u s e d as c o m m e r c i a l resists (104). B o t h p o l y m e r s fall into the larger category of epoxy-based resists that have advantages of h i g h sensitivity a n d t h e r m a l stability b u t lack resistance to p l a s m a e t c h i n g . I m p r o v e d e t c h resistance has b e e n o b t a i n e d w i t h another class of n e g ­ ative resists, the s u b s t i t u t e d polystyrenes. P o l y s t y r e n e has excellent contrast b u t l o w sensitivity (105). para substitution of halogen-containing segments increases the sensitivity sufficiently to warrant the consideration of these materials as bonafide resists (106-109). C o p o l y m e r s of halogenated styrènes w i t h g l y c i d y l methacrylate or n a p h t h a l e n e - c o n t a i n i n g p o l y m e r s show h i g h e r reactivity a n d d r y - e t c h resistance, respectively, c o m p a r e d w i t h styrene h o m o p o l y m e r s (110, 111). A s w i t h negative U V resists, the r e s o l u t i o n of negative e-beam resists is p r i m a r i l y l i m i t e d b y s w e l l i n g . I n a d d i t i o n , h i g h sensitivity a n d h i g h reso l u t i o n are often m u t u a l l y i n c o m p a t i b l e r e q u i r e m e n t s . F o r example, resol u t i o n usually i m p r o v e s w i t h the use of l o w - m o l e c u l a r - w e i g h t p o l y m e r s b u t at the expense o f sensitivity. H i g h e r m o l e c u l a r weights benefit sensitivity b u t adversely affect resolution. H o w e v e r , another parameter that has a m a r k e d influence on negative-resist performance is the c o m p o s i t i o n of the d e v e l o p e r solution. F o r example, s u b m i c r o m e t e r i m a g i n g of c h l o r o m e t h y lated p o l y ( a - m e t h y l s t y r e n e ) was a c c o m p l i s h e d t h r o u g h careful selection of developers based on acetone, m e t h y l e t h y l ketone ( M E K ) , or a m i x t u r e of these c o m p o u n d s w i t h m e t h a n o l (112). A n e w negative resist m a t e r i a l based o n polystyrene c o n t a i n i n g a tetrathiafulvalene ( T T F ) side c h a i n has b e e n r e p o r t e d to have h i g h contrast w i t h out s w e l l i n g (113). I n the presence of a perhaloaliphatic sensitizer such as carbon t e t r a b r o m i d e , e-beam exposure converts T T F to the radical cation b y an electron-transfer process. T h e difference i n s o l u b i l i t y of the radical cation a n d n e u t r a l species allows d e v e l o p m e n t to f o r m the p r i n t e d image. O t h e r n o n s w e l l i n g negative e-beam resists based o n h o m o p o l y m e r s a n d c o p o l y m e r s of a l l y l methacrylate (114) a n d an a z i d e - p h e n o l i c system (115) have b e e n r e p o r t e d recently. X-ray Resists. T h e k e y issues i n X - r a y resists are source brightness, resist sensitivity, a n d mask q u a l i t y . T h e m e t h o d u s e d to generate X - r a y s

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

349

d e t e r m i n e s the r e q u i r e d resist sensitivity (116). F o r example, s y n c h r o t r o n a n d p l a s m a sources w i t h moderate to h i g h fluxes can be u s e d w i t h lesssensitive materials. T h e s e technologies, h o w e v e r , are u n p r o v e n a n d , i n the case of s y n c h r o t r o n radiation sources, v e r y expensive. C o n v e n t i o n a l X - r a y sources based o n electron b o m b a r d m e n t have relatively l o w radiation i n tensity, so that h i g h resist sensitivity is critical. A l s o , decreases i n image quality can occur w i t h these X - r a y sources because of p e n u m b r a l b l u r (116).

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

O t h e r factors that affect X - r a y l i t h o g r a p h i c performance are mask absorption, exposure atmosphere, a n d resist properties, i n c l u d i n g absorption coefficient a n d radiation efficiency. A n effective m e t h o d o f e n h a n c i n g the sensitivity o f X - r a y resists involves m a t c h i n g the resist absorption w i t h the X - r a y e m i s s i o n w a v e l e n g t h of the exposure source. E x a m p l e s of e n h a n c e d sensitivity w i t h c h l o r i n a t e d a n d b r o m i n a t e d resists m a t c h e d to P d (4.3 Â) a n d R h (4.6 Â) sources have b e e n r e p o r t e d (117-119).

U s e of more-reactive groups, h i g h e r

m o l e c u l a r w e i g h t p o l y m e r s , o r b o t h also increase resist sensitivity. Resist materials that are sensitive to e-beam exposure are also sensitive to X - r a y s . I n fact, a strong correlation exists b e t w e e n resist sensitivities o b s e r v e d w i t h the two radiation sources (120). Therefore, the reaction m e c h anisms responsible for the behavior of e-beam a n d X - r a y resists m u s t b e similar for b o t h types of exposure. I n a d d i t i o n to good sensitivity, issues for X - r a y resist materials are a n a l ogous to those of o p t i c a l a n d e - b e a m resists: r e s o l u t i o n , contrast, e t c h r e sistance, t h e r m a l stability, and adhesion. T o stay c o m p e t i t i v e w i t h e - b e a m and e v e n optical l i t h o g r a p h y , X - r a y l i t h o g r a p h y must have a r e s o l u t i o n p e r formance better than 0.5 μιη. A n extensive list of X - r a y resist properties has b e e n c o l l e c t e d i n the literature (83, 116,

121).

Positive X-ray Resists. P M M A is one of the b e s t - k n o w n positive X - r a y resists, although i t lacks sufficient sensitivity to be of practical use (122,123). O n e attempt to increase the sensitivity of methacrylate p o l y m e r s is the i n c o r p o r a t i o n o f more-reactive groups that, u p o n exposure, p r o d u c e large amounts of volatile products (124). P o s s i b l y , dissolution is e n h a n c e d i n the exposed resist as a result of gas-induced microporosity. Incorporation of metals such as T l or C s into M M A - M A A copolymers (123) or fluorine atoms (125) into P M M A derivatives has also b e e n t r i e d . O f t e n , sensitivity gains have b e e n made at the expense o f e t c h i n g resistance. U p o n X - r a y exposure, D N Q - n o v o l a c resists u n d e r g o u n u s u a l c h e m i s t r y (126) . A l t h o u g h D N Q sensitizers react w i t h X - r a y s , v e r y little I C A is f o r m e d . T h i s observation was made e v e n w h e n exposure was c o n d u c t e d u n d e r a m ­ b i e n t conditions i n w h i c h water vapor was present. T h e net result is that the i r r a d i a t e d areas of the resist have v e r y p o o r s o l u b i l i t y i n aqueous base. I n fact, i f a U V flood exposure is u s e d after imagewise X - r a y i r r a d i a t i o n , the areas exposed o n l y to the U V can b e selectively r e m o v e d w i t h a d e v e l o p e r , a process that leads to an image-reversal scheme. B o t h t w o - c o m p o n e n t (67) a n d t h r e e - c o m p o n e n t (127, 128) resists based

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

350

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

o n t h e p r i n c i p l e o f c h e m i c a l amplification have b e e n u s e d w i t h X - r a y i r r a ­ d i a t i o n . A s for t h e p r e v i o u s l y d e s c r i b e d U V resist, X - r a y irradiation is u s e d to generate a strong a c i d capable o f further catalyzing n o n p h o t o c h e m i c a l reactions. T h e sensitivity o f t h e t h r e e - c o m p o n e n t

system is

< 1 0 0 m j / c m , a n d 0.3-μιη gates p r i n t e d i n 0.8 μπι o f resist have b e e n 2

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

demonstrated. Negative X-ray Resists. N e g a t i v e X - r a y resists have i n h e r e n t l y h i g h e r sensitivities c o m p a r e d w i t h positive X - r a y resists, a l t h o u g h t h e i r r e s o l u t i o n capability is l i m i t e d b y s w e l l i n g . F o r e x a m p l e , p o l y ( g l y c i d y l m e t h a c r y l a t e c o - e t h y l acrylate) ( C O P ) , a n e-beam resist, has b e e n u s e d i n X - r a y l i t h o g ­ raphy. P o l y s t y r e n e - t y p e negative resists a n d t h e i r halogenated analogs, i n particular, have b e e n w i d e l y u s e d i n this application (129-131). T h e m a i n thrust o f c u r r e n t d e v e l o p m e n t w o r k i n negative resists lies i n strategies to r e d u c e s w e l l i n g . S o m e apparent success has b e e n a c h i e v e d b y u s i n g l o w m o l e c u l a r - w e i g h t p o l y m e r s w i t h reactive side groups (116). A l s o , t h e T T F substituted p o l y s t y r e n e materials p r e v i o u s l y d e s c r i b e d for e-beam l i t h o g ­ r a p h y have b e e n u s e d (113). F i n a l l y , aqueous-base-developable novolacbased negative X - r a y resists have b e e n r e p o r t e d r e c e n t l y (132). T h e s e resists are s i m i l a r to t h e materials d e s c r i b e d e a r l i e r for optical l i t h o g r a p h y a n d are c o m p o s e d o f a p h e n o l i c r e s i n , a n X - r a y a c i d generator, a n d a c r o s s - l i n k i n g agent.

Recent Developments in Resist Materials and Processes.

Dry-

Developed Resists. D u r i n g the last decade, a host o f d r y - d e v e l o p e d resists have b e e n d e s c r i b e d for U V , e-beam, a n d X - r a y l i t h o g r a p h i c processes (14, 83,133,134). A s m e n t i o n e d p r e v i o u s l y , resists d e v e l o p e d w i t h c o n v e n t i o n a l solvents o r solutions are p r o n e to p a t t e r n d i s t o r t i o n b y p o l y m e r s w e l l i n g o r s h r i n k i n g . D r y d e v e l o p m e n t i n t h e absence o f l i q u i d solvents eliminates these p r o b l e m s a n d may offer a d d i t i o n a l advantages of r e d u c e d defect d e n s i t y because o f t h e use o f v a c u u m e q u i p m e n t , r e d u c e d organic a n d c h e m i c a l waste materials, a n d better resist side w a l l angles d u r i n g p l a s m a d e v e l o p ­ ment. T w o approaches have b e e n taken to d e s i g n d r y - d e v e l o p e d resists. T h e first t e c h n i q u e uses s e l f - d e v e l o p i n g o r ablative resists for d i r e c t p a t t e r n formation d u r i n g exposure. T h i s t e c h n i q u e eliminates t h e n e e d for a d e ­ v e l o p m e n t step, because t h e resist is c o m p l e t e l y r e m o v e d d u r i n g irradiation b y the action o f the i n c i d e n t radiation. T h i s process results o n l y i n p o s i t i v e tone images. P o l y ( 2 - m e t h y l - l - p e n t e n e sulfone) ( P M P S ) was the first r e p o r t e d s e l f - d e v e l o p i n g resist for e-beam exposure (13$). T h e r e l i e f image was p r o d u c e d b y c h a i n scission a n d d e p o l y m e r i z a t i o n i n d u c e d b y h i g h expo­ sure doses. O t h e r materials investigated for this purpose i n c l u d e poly(phthalaldehyde) (136), sensitized copolymers o f methacrylate (137), aliphatic a l d e h y d e c o p o l y m e r s (J38), s e n s i t i z e d p o l y ( m e t h y l i s o p r o p e n y l

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

351

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

ketone) (139), a n d certain charge-transfer complexes such as tetrathiafulvalene b r o m i d e (140). A l t h o u g h self-developing resists result i n a significant r e d u c t i o n i n process steps, c o n t a m i n a t i o n o f the e-beam m a c h i n e b y v o l ­ atile b y p r o d u c t s remains a p r o b l e m . O t h e r issues are e t c h i n g stability o f the film and loss o f resolution a n d edge acuity caused b y self-propagating d e p o l y m e r i z a t i o n reactions. T h e second approach involves plasma d e v e l o p m e n t , d u r i n g w h i c h t h e latent modifications i n t r o d u c e d d u r i n g exposure are a m p l i f i e d b y a p l a s m a treatment. T h e D E S I R E process, w h i c h was d e s c r i b e d earlier, is an example of such a system. I n a m o r e general sense, p l a s m a - d e v e l o p e d resists i n c o r ­ porate a n etch-resistant c o m p o u n d into t h e p o l y m e r matrix. U p o n i r r a d i a ­ t i o n , t h e etch-resistant a d d i t i v e is b o u n d to t h e p o l y m e r i n t h e exposed r e g i o n . I n t h e unexposed areas, t h e additive is subsequently v o l a t i l i z e d d u r i n g a v a c u u m bake. R e m o v a l o f t h e a d d i t i v e generally increases t h e p l a s m a - e t c h i n g rate o f unexposed resist relative to t h e exposed areas. T h e process is c o m p l e t e d b y an oxygen p l a s m a o r a reactive-ion-etching ( R I E ) treatment that p r e f e r e n t i a l l y r e m o v e s t h e unexposed resist. T h e r e s u l t i n g r e l i e f image is negative. A l t e r n a t i v e l y , i r r a d i a t i o n o f the r e s i s t - a d d i t i v e film m a y cause t h e exposed areas to e t c h faster, a n d t h e r e s u l t i n g r e l i e f image is positive. B o t h organic a n d organometallie etch-resistant c o m p o u n d s have been used. X - r a y p l a s m a - d e v e l o p e d resists w i t h silicon b o u n d i n t o t h e p o l y m e r matrix b y X - r a y - i n d u c e d p o l y m e r i z a t i o n o f the m e t a l - c o n t a i n i n g m o n o m e r have b e e n s t u d i e d (14I, 142). V a c u u m h e a t i n g removes t h e m o d e r a t e l y volatile m o n o m e r i n the unexposed areas. D u r i n g oxygen R I E , a m e t a l oxide forms w i t h i n the exposed areas o f the resist; the m e t a l oxide acts as a p a r t i a l e t c h mask. S u c h a system based o n p o l y ( d i c h l o r o p r o p y l acrylate) y i e l d s 0.5-μπι r e s o l u t i o n . e - B e a m p l a s m a - d e v e l o p e d resists have b e e n s y n t h e ­ sized b y u s i n g p l a s m a - p o l y m e r i z e d m e t h y l methacrylate (143) a n d poly(methacrylonitrile) a n d its derivatives (144). These p o l y m e r s are d e ­ g r a d e d b y irradiation. T h e r e l i e f image is u l t i m a t e l y p r o d u c e d b y e t c h i n g i n halogen-containing plasmas. e - B e a m resists w i t h a d d e d etch-resistant components, a k i n to t h e X - r a y resist example c i t e d earlier, have b e e n i n ­ vestigated also. D e e p - U V radiation has b e e n u s e d to expose p o l y ( m e t h y l i s o p r o p e n y l ketone) c o n t a i n i n g a bisazide sensitizer, w h i c h functions b y means o f a different m e c h a n i s m as a negative d r y - d e v e l o p e d resist (145). I n this case, t h e b y p r o d u c t s o f bisazide i n t h e exposed resist are b e l i e v e d to i n h i b i t p l a s m a e t c h i n g o f the p o l y m e r . T h e s e byproducts are f o r m e d d u r i n g the resist postbake rather than d u r i n g t h e exposure step. O r g a n o m e t a l l i e c o m p o u n d s can b e i n c o r p o r a t e d i n t o s p u n - o n resist films e i t h e r at t h e t i m e o f formation w i t h e v e n d i s t r i b u t i o n o r i n a separate step after t h e resist has b e e n a p p l i e d to t h e substrate. F o r example, focused i n d i u m (146) o r g a l l i u m (147) i o n beams have b e e n u s e d to w r i t e a p a t t e r n d i r e c t l y o n t h e resist surface. S u b s e q u e n t p l a s m a treatment t h e n p r o d u c e d

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

352

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

the etch-resistant oxide. Inorganic halides such as S i C l

4

have b e e n i n t r o ­

d u c e d b y vapor treatment into a U V - e x p o s e d b i s a z i d e - i s o p r e n e - t y p e resist, i n w h i c h s i l i c o n is i n c o r p o r a t e d p r e d o m i n a n t l y i n the unexposed areas (148, 149). T r e a t m e n t w i t h oxygen p l a s m a selectively removes the exposed resist to p r o d u c e the positive image. H M D S a n d other silylating agents have b e e n u s e d i n s i m i l a r schemes to generate p o s i t i v e - a n d negative-tone resist images d e v e l o p e d b y oxygen R I E (150).

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

Langmuir-Blodgett

Films as Resists.

Ultrathin Langmuir-Blodgett

( L B ) films are p r e p a r e d b y transferring floating organic monolayers onto solid substrates. T h i s t e c h n i q u e was first r e p o r t e d about 50 years ago (152) and has b e e n r e v i e w e d r e c e n t l y for applications i n e l e c t r o n i c - r e l a t e d fields (252). Today, L B films are b e i n g investigated for p o t e n t i a l use as h i g h resolution e-beam resists. Because of the t h i n coatings obtainable w i t h this m e t h o d , l i n e w i d t h b r o a d e n i n g , w h i c h occurs i n c o n v e n t i o n a l Ι-μιη-thick e-beam resists, can be r e d u c e d substantially. F o r e x a m p l e , ω-tricosenoic a c i d has b e e n u s e d as a negative resist that is capable of 6 0 - n m l i n e r e s o l u t i o n i n 3 0 - 9 0 - n m - t h i c k films (153). L B resists based o n a-octadecylacrylic a c i d have b e e n p r e p a r e d also (254). I n this case, o n the basis of the extent of U V - i n d u c e d p r e p o l y m e r i z a t i o n , e i t h e r positive or negative images

are

f o r m e d . W h e n p r e p o l y m e r i z a t i o n is slight, negative-tone images result f r o m further e-beam exposure a n d d e v e l o p m e n t i n alcohol. If p r e p o l y m e r i z a t i o n is m o r e extensive, e-beam exposure causes d e p o l y m e r i z a t i o n . A r e s o l u t i o n of 10 n m has b e e n d e m o n s t r a t e d w i t h m u l t i l a y e r s of simple-fatty-acid salts (255). I r r a d i a t i o n induces s u b l i m a t i o n i n these films to p r o d u c e positive images. T h r e e major drawbacks c u r r e n t l y plague L B resists. F i r s t , a p p l i c a t i o n t i m e is too l o n g (in the o r d e r of a few m i n u t e s to a few hours), because m a n y coats, each only a few nanometers thick, are r e q u i r e d to ensure defect-free e t c h i n g p r o t e c t i o n . S e c o n d , e t c h i n g resistance m u s t be i m p r o v e d for ade­ quate resist performance. T h i r d , the substrate a n d the film p r e p a r a t i o n b a t h m u s t be s c r u p u l o u s l y clean. Because L B resist technology is still i n its infancy, o n g o i n g research m a y yet p r o v i d e solutions to these p r o b l e m s .

Resist Processing E v e n w i t h the same resist a n d l i t h o g r a p h i c e q u i p m e n t , p a t t e r n q u a l i t y can vary c o n s i d e r a b l y , d e p e n d i n g o n the p a r t i c u l a r e q u i p m e n t used a n d the exact processing steps. T h e p r o p e r choice of processing parameters hinges o n a firm u n d e r s t a n d i n g of the interactions a m o n g the various materials i n each step. T h e s e parameters, i n t u r n , can b e g r o u p e d into two types. T h e first type of p a r a m e t e r is h a r d w a r e related. F o r these parameters, r e f i n e m e n t is l i k e l y to be costly, a n d sometimes, f u n d a m e n t a l physics imposes l i m i t s . F o r example, l i g h t interference b y mask fine structures a n d energy contour

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

353

s p r e a d i n g b y e l e c t r o n back scattering have b e e n m e n t i o n e d . I n a d d i t i o n , lens imperfections, source stability, b e a m size a n d shape, m e c h a n i c a l a l i g n m e n t a n d focusing abilities are a l l p o t e n t i a l p r o b l e m s . T h e second type o f parameter involves those that c a n b e c o n t r o l l e d m o r e d i r e c t l y . E x a m p l e s i n c l u d e choice of casting solvent, selection of s p i n n e r speed, c o m p o s i t i o n of d e v e l o p e r solution, d u r a t i o n o f exposure a n d d i s s o l u t i o n , b a k i n g t e m p e r a t u r e , etchant f o r m u l a t i o n , a n d , i f plasma processes are e n t a i l e d , the various

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

associated parameters, such as gas c o m p o s i t i o n , flow rate, pressure, bias, p o w e r l e v e l , a n d radio frequency (rf). D e f i n i t i o n of these variables specifies the process t r a i n , a n d most existing fabrication lines have w e l l - t e s t e d stand a r d process m o d u l e s after years of refinement a n d experience. T h e i m p o r t a n c e o f cleanliness i n I C processing cannot b e o v e r e m p h a s i z e d . Substrates, masks, e q u i p m e n t , h u m a n operators, l i t h o g r a p h i c c h e m icals, a n d a i r a n d water supplies m u s t b e k e p t as free o f c h e m i c a l a n d particulate c o n t a m i n a t i o n as possible. C o n t a m i n a t i o n i n one f o r m o r another is p r o b a b l y responsible for a large p o r t i o n of day-to-day o p e r a t i n g p r o b l e m s i n fabrication areas. F o r this reason, e n v i r o n m e n t a l c o n t r o l (particle count, air flow a n d q u a l i t y , a n d t e m p e r a t u r e a n d h u m i d i t y levels) account for a major p o r t i o n of the expense i n setting u p a n d m a i n t a i n i n g a fabrication e n v i r o n m e n t . L i t h o g r a p h i c processes are especially sensitive to particulate levels a n d fluctuations i n t e m p e r a t u r e a n d h u m i d i t y . T h e rewards of tight e n v i r o n m e n t a l c o n t r o l are h i g h , because p r o d u c t y i e l d is d i r e c t l y affected b y e n v i r o n m e n t a l conditions. Standard resist processing includes several steps ( F i g u r e 4). T h e major steps are s p i n coating, b a k i n g , exposure, d e v e l o p m e n t , a n d p o s t d e v e l o p m e n t processing (e.g., etching). T h e s e five operations w i l l b e discussed separately i n the f o l l o w i n g sections. Steps i n d i c a t e d b y dashed lines i n F i g u r e 4 are not u s e d i n a l l cases. Substrate Preparation.

A clean wafer surface is necessary for defect-

free films a n d good resist adhesion. C l e a n i n g procedures vary according to substrate surface c o m p o s i t i o n a n d p r i o r processing, b u t a l l procedures m u s t , i n o n e w a y or another, r e m o v e organic a n d inorganic c o n t a m i n a t i o n a n d particles. Substrate surfaces f o r m e d b y v a c u u m deposition o r t h e r m a l o x i dation are generally v e r y clean a n d m a y not r e q u i r e a n a d d i t i o n a l c l e a n i n g step i f they are coated i m m e d i a t e l y w i t h resist. Sources of wafer c o n t a m i nation m a y b e extraneous, as i n t h e case o f d i r t y w a f e r - h a n d l i n g e q u i p m e n t or i m p u r e water. A l t e r n a t i v e l y , c o n t a m i n a t i o n m a y arise from the i n t e r a c t i o n of t h e substrate surface, for example, w i t h a m b i e n t a i r to f o r m oxides a n d inorganic salts. Particles are t h e most c o m m o n c o n t a m i n a t i o n , b u t t h e y constitute a p r o b l e m o n l y i f they cause fatal defects, that i s , defects that make the device inoperable. In l i t h o g r a p h y , particles can damage t h e p r i n t e d p a t t e r n e i t h e r b y i m b e d d i n g themselves i n t h e resist or b y casting shadows from t h e mask

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

354

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Wafer Cleaning^

Γ Apply Adhesion I Promoter

" 1 Develop f

Ί Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

I Post-Exposure I j Treatment |

j Post-•Bake

Spincoat Resist <

r

! Plasma Descum !

Prebake

Expose

S u b s t r a t e E t c h (or Ion Implant/Metallize)

Strip

τ

Resist

Figure 4. Flow sheet of standard lithographic process. The major steps are outlined in boxes, and optional steps are traced by dashes. surface. T h u s , the r e s u l t i n g resist image can b e a l t e r e d to f o r m gaps or holes or j o i n e d to give b r i d g e d patterns. T h e s e resist irregularities can translate into m e t a l o p e n o r short lines o r other functional p r o b l e m s . P a r t i c u l a t e c o n t a m i n a t i o n o f the resist can also cause subtle p r o b l e m s that are m o r e difficult to correlate w i t h p o o r d e v i c e performance. F o r example, d u r i n g resist s p i n coating, particles can create c o m e t l i k e shadows that affect film uniformity and planarization. W a f e r - c l e a n i n g procedures a n d reagents have b e e n r e v i e w e d i n d e t a i l (156). C l e a n i n g procedures that use solvents are p r o b a b l y most c o m m o n . S e v e r a l methods o f c l e a n i n g w i t h solvents may be u s e d , i n c l u d i n g d i p p i n g , v a p o r degreasing, s p r a y i n g , a n d ultrasonic i m m e r s i o n . U l t r a s o n i c i m m e r s i o n is especially effective i n r e m o v i n g particulate contamination. P l a s m a c l e a n i n g is q u i c k l y b e c o m i n g a p o p u l a r alternative for r e m o v i n g organic a n d i n o r g a n i c contamination. A d e h y d r a t i o n bake at 200 °C or h i g h e r (with o p t i o n a l vac­ u u m ) i n an o v e n o r o n a hot plate t y p i c a l l y follows the solvent-cleaning steps. T h i s bake is necessary to r e m o v e traces o f absorbed water o n the wafer surface to p r o m o t e resist adhesion. Resist adhesion must be h i g h e n o u g h so that the film does not p e e l off d u r i n g d e v e l o p m e n t . T r a p p e d voids, e i t h e r i n the b u l k or at the wafer interface, must b e r e m o v e d c o m p l e t e l y . T r a p p e d voids i n the b u l k are e l i m ­ i n a t e d b y p r o p e r choice of solvent, w h i c h must evaporate slowly to a v o i d

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

Resists in

O ' B R I E N & SOANE

Microlithography

355

c r e a t i n g i n t e r n a l b u b b l e s . B a k i n g conditions are also selected to m i n i m i z e the evaporation rate o f residual solvent a n d to p r o m o t e annealing. Interfacial defects are p r e v e n t e d b y rigorous c l e a n i n g o f the substrate surface. F r e q u e n t l y , adhesion promoters are u s e d . T h e substrates are p r i m e d or coated w i t h a t h i n layer o f an adhesion p r o m o t e r , t y p i c a l l y H M D S , p r i o r to s p i n coating w i t h resist (18). Possibly, t h e p r i m a r y action o f H M D S is to negate h y d r o p h i l i c sites such as S i O H a n d t r a p p e d m o i s t u r e o n the substrate

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

surface that w o u l d otherwise r e p e l t h e photoresist. H M D S is a p p l i e d b y d i p p i n g , vapor p r i m i n g i n special chambers, o r s p i n coating. S p i n coating is most often a c c o m p l i s h e d w i t h t h e same e q u i p m e n t that is used to coat resists. N o h i g h - t e m p e r a t u r e bake other than the resist prebake is r e q u i r e d . Spin Coating. Resist layers are deposited b y a t e c h n i q u e c a l l e d s p i n coating. A p r e d e t e r m i n e d a m o u n t o f the resist solution is p o u r e d t h r o u g h a nozzle onto a wafer h e l d o n a v a c u u m chuck. T h e wafer is accelerated rotationally to t h e final s p i n speed o f 2 0 0 0 - 4 0 0 0 r p m t y p i c a l l y . M u c h o f the o r i g i n a l l i q u i d is s p u n off the wafer edge. A s m a l l fraction remains a n d dries t h r o u g h solvent evaporation. T h e h a r d e n e d resist forms a t h i n film over t h e wafer; t y p i c a l l a y e r thickness is o n the o r d e r o f 1 μπι. I f the wafer is stationary d u r i n g dispensation, t h e process is r e f e r r e d to as static o r puddle dispense. C o n v e r s e l y , i f a slow rotation is u s e d , the process is c a l l e d dynamic dispense. F o l l o w i n g the dispense step, t h e rotational speed m a y execute a p r e p r o ­ g r a m m e d r a m p o r s i m p l y j u m p to t h e final l e v e l . T w o i m p o r t a n t quantities characterize t h e success o f s p i n coating: tar­ geted film thickness a n d thickness u n i f o r m i t y . T h e resist f o r m u l a t i o n m u s t be established carefully, so that the correct amount o f fluid flows off the edge w h i l e t h e solvent evaporates to solidify t h e r e m a i n i n g film. F l o w b e h a v i o r is d i c t a t e d b y t h e fraction o f solids left, because the radial-convective-loss rate hinges o n t h e concentration-dependent rheology. R e s i d u a l solvent is r e m o v e d t h r o u g h a n evaporative process. Surface solvent molecules are d r i v e n into t h e a m b i e n t b y convective mass transfer, a process that is greatly e n h a n c e d b y t h e relative motions o f air a n d t h e resist d u e to wafer rotation. T h e solvent gradient thus established induces diffusion t h r o u g h the thickness of the resist from w i t h i n t h e b u l k fluid. T h e diffusivity o f solvent i n t h e resist is a strong f u n c t i o n o f its c o m p o s i t i o n . H e n c e , difiusion (or evaporation) is tightly c o u p l e d w i t h fluid flow t h r o u g h concentration-dependent evaporation a n d rheology. B o t h mechanisms account for film thickness r e d u c t i o n . E x p e r i m e n t a l l y , t h e average film thickness exhibits a p o w e r - l a w d e p e n ­ d e n c e o n final s p i n speed (157). T h e relationship can b e expressed approx­ i m a t e l y as d = kw~ , i n w h i c h d is t h e r e s u l t i n g film thickness, w is t h e final s p i n speed, it is a concentration-dependent front factor, a n d a is t h e p o w e r - l a w exponent. T h e p o w e r - l a w exponent a is strictly a f u n c t i o n o f starting solution c o m p o s i t i o n . T h e d e p e n d e n c e o f a o n s o l i d content (and i n cases i n w h i c h t h e p o l y m e r m o l e c u l a r w e i g h t varies w h i l e t h e total s o l i d a

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

356

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

w e i g h t fraction remains constant) can o n l y b e e x p l a i n e d b y flow-induced n o n - N e w t o n i a n behavior. I n these t h i n l i q u i d layers, radial flow d r i v e n b y centrifugal forces generates sufficiently h i g h shear rates to cause n o n l i n e a r i t y i n the rheological behavior. H e n c e , t h e fluid viscosity is l o w e r e d b y t h e i m p o s e d shear, w i t h t h e r e d u c t i o n at a g i v e n shear rate b e i n g greater for m o r e - c o n c e n t r a t e d solutions a n d h i g h e r m o l e c u l a r w e i g h t resins. M a t h e m a t i c a l models that incorporate b o t h flow a n d evaporative-loss mechanisms have b e e n p r e p a r e d (158). N o n - N e w t o n i a n features have b e e n i n t r o d u c e d r e c e n t l y to refine t h e m o d e l i n g efforts a n d have r e s u l t e d i n models w i t h quantitative p r e d i c t i v e abilities (159). H e n c e , data c o m p a r i s o n b e t w e e n films s p u n o n different spinners, w i t h n o m i n a l l y i d e n t i c a l final rotation speeds, m a y reveal differences i n film u n i f o r m i t y . F i l m u n i f o r m i t y becomes a critical issue w h e n the resist layer has to cover an existing topography, such as i n applications over partially processed wafers. Step coverage is i n t i m a t e l y l i n k e d w i t h fluid flow a n d solvent e v a p oration. I n a d d i t i o n , the exact geometry, such as the space, d e p t h , a n d w i d t h of steps; the orientation o f the features relative to t h e center o f the wafer; and t h e distance o f the features from t h e axis o f rotation, a l l p l a y a role i n resist planarization ( F i g u r e 5). T h e existing step is c o v e r e d w i t h a film, w h i c h partially smoothes o u t t h e unevenness o f the u n d e r l y i n g topography. T h e effectiveness o f planarization is measured b y t h e ratio o f peak-to-valley distance o n t h e resist t o p surface t o t h e existing step height o f the u n d e r l y i n g structure. N o r m a l l y , planarization i m p r o v e s w i t h a thick coating o r m u l t i p l e applications o f resist layers, w i t h each subsequent layer partially s c r e e n i n g out the surface undulations o f the previous layer. P l a n a r i z a t i o n also d e p e n d s o n t h e geometrical details o f the feature to b e c o v e r e d . C o r relations are b e g i n n i n g to appear i n t h e l i t e r a t u r e , a n d attempts at t h e i r p r e d i c t i o n are u n d e r w a y . Resist planarization is a n i m p o r t a n t issue for multilevel-resist processing (160), a topic that w i l l b e discussed i n detail i n a later section.

planarizing

3

layer

^ step

Figure 5. Cross section of a resist film of thickness a spun on top of a step (or line). The underlying topography is partially obscured by the resist layer, whose surface reveals only a rounded hump with an amplitude (b) that is a fraction of the step height below.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Prebake.

Resists in

Microlithography

357

A f t e r s p i n coating, the resist is b a k e d to r e m o v e r e s i d u a l

solvent a n d to relax l o c k e d - i n stresses i n d u c e d b y flow. P r e b a k i n g also h a r d ens the f i l m for b e t t e r h a n d l i n g d u r i n g processing a n d increases adhesion of the film to the substrate. A l t h o u g h actual p r e b a k e conditions vary, 30 m i n at 90 °C is typical. F o r c e d - a i r box ovens, c o n v e y o r i z e d infrared ovens, a n d , recently, automated "wafer t r a c k " hot plates are a l l u s e d for this purpose. T h e t e m p e r a t u r e at w h i c h b a k i n g takes place lies b e l o w the p o l y m e r d e -

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

c o m p o s i t i o n p o i n t b u t above its glass transition t e m p e r a t u r e , because the resist must be soft e n o u g h to a l l o w facile stress relaxation a n d d r y i n g . T h e o p e r a t i n g range of a n n e a l i n g t e m p e r a t u r e is best d e t e r m i n e d b y the c o m b i n e d use of differential t h e r m a l analysis ( D T A ) and t h e r m a l g r a v i m e t r i c analysis ( T G A ) . T h e s e techniques m o n i t o r the e n t h a l p y change ( D T A ) a n d w e i g h t loss ( T G A ) as functions of sample t e m p e r a t u r e . Solvent evaporation is a c c o m p a n i e d b y an e n d o t h e r m a n d sample w e i g h t loss, the a m o u n t of w h i c h is g o v e r n e d b y the film c o m p o s i t i o n after spin coating. B a k i n g - t e m perature selection is not l i m i t e d b y the rate of solvent evaporation alone. Sensitizer degradation a n d m i g r a t i o n are i m p o r t a n t considerations as w e l l . T h e a n n e a l i n g t e m p e r a t u r e must be b e l o w the t e m p e r a t u r e at w h i c h the sensitizer is degraded. T h e b a k i n g process is affected not o n l y b y the t e m p e r a t u r e a n d d u r a t i o n at w h i c h a n n e a l i n g takes place b u t also b y the way the resist-coated wafer is c o o l e d (161). If the resist is b a k e d i n an o v e n a n d t h e n taken out into r o o m air after b a k i n g , its t e m p e r a t u r e drops rather q u i c k l y . H o w e v e r , i f the o v e n is shut off w h i l e the wafer is left i n s i d e , the w h o l e system cools off slowly. T h e annealed p o l y m e r thus experiences different t e m p e r a t u r e h i s tories, d e p e n d i n g o n h o w the wafer is c o o l e d after baking. R u b b e r y p o l y m e r s exhibit h i g h glass transition temperatures i f the cooling rate is sufficiently fast. C o n v e r s e l y , a slowly c o o l e d sample has m u c h t i m e to contract a n d thus exhibits a l o w e r glass transition t e m p e r a t u r e . T h e slower the rate, the denser is the v i t r i f i e d p o l y m e r . T h i s h i g h - d e n s i t y or, e q u i v a l e n t l y , l o w - f r e e - v o l u m e state retards solvent p e n e t r a t i o n . T h e slower solvent diffusion, i n t u r n , r e duces the rate of resist dissolution. A l t h o u g h postbake wafer c o o l i n g is not r o u t i n e l y m o n i t o r e d d u r i n g resist processing, the process s h o u l d b e i n v e s tigated further. Exposure.

T h e f u n d a m e n t a l p r i n c i p l e s i n v o l v e d i n resist exposure

have b e e n discussed i n a p r e v i o u s section (see E x p o s u r e T e c h n i q u e s , pages 3 2 7 - 3 3 1 ) a n d w i l l not be reiterated. Development. Resist d e v e l o p m e n t is a critical step i n l i t h o g r a p h y , because it exerts great influence o n p a t t e r n quality. T h e traditional d e v e l o p m e n t m e t h o d uses a l i q u i d d e v e l o p e r solution that preferentially dissolves e i t h e r the exposed r e g i o n (positive resists) or the unexposed r e g i o n (negative resists). D e v e l o p m e n t can be c a r r i e d out b y e i t h e r spray or i m m e r s i o n t e c h -

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

358

MICROELECTRONICS PROCESSING; C H E M I C A L E N G I N E E R I N G ASPECTS

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

niques. M a n y o p e r a t i n g parameters affect the o u t c o m e of resist d e v e l o p m e n t , such as d e v e l o p e r strength, agitation, t e m p e r a t u r e a n d h u m i d i t y at w h i c h d e v e l o p m e n t takes place, a n d t h e size o f d e v e l o p e r molecules. A l l these parameters influence t h e relative rates o f dissolution o f b o t h exposed a n d unexposed regions, as w e l l as t h e degree o f s w e l l i n g a n d latent-image d i s ­ tortion. N o v o l a c - d i a z o n a p h t h o q u i n o n e systems are d e v e l o p e d i n alkaline s o l u ­ tions. M a n y c o m m e r c i a l developers are available; these v a r y slightly i n p H a n d c o u n t e r ions, such as N H , N a , a n d Κ . T h e developers are generally buffered, w i t h m i n o r differences i n buffer compositions. Investigation of p o s ­ itive resists d e v e l o p e d i n different alkaline solutions has s h o w n c e r t a i n c o r ­ relations b e t w e e n i o n i c strength, counter i o n type a n d size, a n d rate o f d e v e l o p m e n t (162). A l k a l i n e solutions n e u t r a l i z e carboxylic a c i d groups i n sensitizers a n d also react w i t h C 0 from air. T h u s , t h e p H o f these solutions gradually varies, a n d developers have to b e r e p l a c e d p e r i o d i c a l l y . C y c l i z e d r u b b e r - t y p e negative resists are d e v e l o p e d b y u s i n g organic solvents. 4

+

+

+

2

I n e-beam resists, c h a i n scission is often a c c o m p a n i e d b y t h e e l i m i n a t i o n of s m a l l volatile fragments. A s these fragments leave the p o l y m e r , t h e y create m i c r o v o i d s that facilitate solvent penetration d u r i n g d e v e l o p m e n t . H e n c e , the rate o f d i s s o l u t i o n is not j u s t a function o f t h e m o l e c u l a r w e i g h t o f t h e resist i n its postexposure state; rather the dissolution rate also reflects t h e extent o f v o i d formation. T h e rate w o u l d b e h i g h e r i n t h e exposed r e g i o n than i n t h e unexposed r e g i o n , e v e n i f the t w o regions h a d i d e n t i c a l m o l e c u l a r weights, as d e m o n s t r a t e d c o n v i n c i n g l y b y O u a n o et a l . (163). M o d e l i n g o f glassy-polymer dissolution has b e e n r e p o r t e d i n t h e l i t e r ­ ature (164, 165). I n v i e w o f the difficulty o f c o r r e l a t i n g t h e aforementioned gamut o f process parameters w i t h t h e r e s u l t i n g t i m e - d e p e n d e n t resist p r o ­ files, most m o d e l i n g efforts s i m p l i f y the t w o - d i m e n s i o n a l p r o b l e m b y i n i t i a l l y e x a m i n i n g samples that have b e e n subjected to flood exposure; this s i m p l i ­ fication eliminates o n e spatial variable. D i s s o l u t i o n o f resist films c o n c e p ­ tually involves t w o e l e m e n t a r y steps: solvent p e n e t r a t i o n to convert t h e glassy p o l y m e r i n t o a s w o l l e n , e n t a n g l e d r u b b e r a n d c o i l e d dissociation from the g e l at t h e solution interface. Solvent penetration is a stress-relaxationl i m i t e d process, a n d solvent size a n d matrix free-volume state p l a y a strong role i n affecting t h e rate o f this process. C o i l e d dissociation from t h e g e l is dictated p r i m a r i l y b y c o i l m o b i l i t y a n d c h a i n l e n g t h . M o b i l i t y is a f u n c t i o n of g e l c o n c e n t r a t i o n , whereas c o i l l e n g t h influences t h e t i m e scale o f c o i l m o v e m e n t (reptation) n e e d e d to free i n d i v i d u a l p o l y m e r molecules from t h e e n t a n g l e d chains. E x p e r i m e n t a l e v i d e n c e to elucidate t h e d e t a i l e d m e c h a ­ nisms o f film dissolution has b e e n partially collected. S o m e approaches i n ­ v o l v e t h e i n t e r r u p t i o n o f d e v e l o p m e n t processes b y freezing t h e partially d i s s o l v e d film at different intervals for later characterization. O t h e r a p ­ proaches use d i r e c t optical means to m o n i t o r film thickness as a f u n c t i o n o f t i m e d u r i n g d e v e l o p m e n t . T h r o u g h systematic observations, t h e i n t e r d e -

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

359

p e n d e n c i e s o f the film dissolution rate o n b a k i n g conditions, exposure dosage, i n i t i a l m o l e c u l a r w e i g h t o f the resist, d e v e l o p e r c o m p o s i t i o n , a n d size a n d s o l u b i l i t y o f solvent molecules m a y b e established. P h e n o m e n o l o g i c a l m o d e l s , w h i c h take e m p i r i c a l curve-fit m o d e l parameters for o n e - d i m e n sional situations to describe profile d e v e l o p m e n t , have b e e n d e r i v e d (166). S u c h models w o u l d benefit greatly from k n o w l e d g e o f the interrelations just

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

mentioned. A s a first step i n t h e systematic c o m p i l a t i o n o f data o n resist dissolution a n d s w e l l i n g b e h a v i o r , a research p r o g r a m was i n i t i a t e d about 4 years ago, w h i c h c u l m i n a t e d i n a n u m b e r o f publications (167-169). T h e s w e l l i n g a n d dissolution o f t h i n films o f P M M A i n m e t h y l i s o b u t y l ketone ( M I B K ) a n d i n s o l v e n t - n o n s o l v e n t mixtures o f M I B K - m e t h a n o l a n d m e t h y l e t h y l k e t o n e - i s o p r o p y l alcohol (IPA) w e r e investigated. F i l m s w e r e m o n i t o r e d b y i n situ e l l i p s o m e t r y . P a r a m e t r i c studies o f the effects o f m o l e c u l a r w e i g h t , m o l e c u l a r w e i g h t d i s t r i b u t i o n , soft-baking q u e n c h rate, solvent size, a n d t e m p e r a t u r e w e r e p e r f o r m e d w i t h M I B K . T h e s e parameters h a d a significant effect o n dissolution. T h e effects o f solvent composition a n d t e m p e r a t u r e o n s w e l l i n g a n d dissolution w e r e s t u d i e d w i t h b i n a r y solvents. T e r n a r y diagrams based o n F l o r y - H u g g i n s interaction parameters w e r e u s e d to i n t e r p r e t the t h e r m o d y n a m i c s o f s w e l l i n g a n d dissolution. A n a r r o w transition r e g i o n w h e r e the d e v e l o p e r changed from a s w e l l i n g to a d i s s o l v i n g agent w i t h a s m a l l change i n c o m p o s i t i o n o r t e m p e r a t u r e was o b s e r v e d . I n the r e g i o n w h e r e t h e p o l y m e r was i n s o l u b l e , t h e p o l y m e r s w e l l e d u p to t h r e e t i m e s t h e i n i t i a l thickness. A t a 50:50 M E K / I P A ratio, a t e m p e r a t u r e decrease from 2 4 . 8 to 18.4 ° C caused a change from c o m p l e t e dissolution to a c o m b i n e d s w e l l i n g - d i s s o l u t i o n b e h a v i o r a n d r e n d e r e d t h e P M M A film o n l y 6 8 % soluble. K i n e t i c effects w e r e d e t e r m i n e d b y measurements o f dissolution a n d p e n e t r a t i o n rates. A constant penetration v e l o c i t y was o b s e r v e d for almost a l l compositions for b o t h b i n a r y solvent mixtures. I n a l l studies, case I I transport assumptions p r o v i d e d good agreement w i t h e x p e r i m e n t a l results. F o r M E K - I P A , p e n e t r a t i o n rates increased w i t h increasing M E K c o n c e n tration. F o r M I B K - m e t h a n o l , h o w e v e r , a m a x i m u m p e n e t r a t i o n rate was o b s e r v e d at a 60:40 M I B K / m e t h a n o l ratio. A d e t a i l e d transport m o d e l for resist dissolution has b e e n d e v e l o p e d (169). I n c o n j u n c t i o n w i t h standard e l l i p s o m e t r i c equations d e s c r i b i n g m u l tilayer films, t h e m o d e l provides quantitative agreement w i t h t h e o b s e r v e d traces from t h e i n situ e l l i p s o m e t e r . M o d e l parameters are thus extracted, a n d t h e i r significance i n t e r m s o f m o l e c u l a r structures o f the system can b e established. T h i s m o d e l can t h e n b e e x t e n d e d for p r e d i c t i v e purposes i n t h e design a n d selection o f resist materials. Postbaking. P o s t b a k i n g , w h i c h n o r m a l l y follows t h e resist d e v e l o p m e n t step, is s i m i l a r to p r e b a k i n g b u t uses somewhat h i g h e r t e m p e r a t u r e .

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

360

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

In this step, r e s i d u a l solvent is r e m o v e d , w h i l e adhesion to t h e substrate is also i m p r o v e d . Postbake conditions vary w i t h the specific resist used, b u t 30 m i n at 120 ° C is t y p i c a l . A n excessively h i g h bake t e m p e r a t u r e w o u l d i n i t i a l l y cause resist flow, a n d e v e n t u a l l y , t h e r m a l degradation w i l l occur at temperatures above 200 ° C .

Postdevelopment Treatment.

Descum.

A photoresist

plasma

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

" d e s c u m " step is t y p i c a l l y b u t not always u s e d after d e v e l o p m e n t . T h i s step r e m o v e s u n w a n t e d resist residues that w e r e n o t cleared o u t d u r i n g d e v e l o p m e n t a n d , i n effect, increases t h e process latitude o f t h e occasionally troublesome e x p o s u r e - d e v e l o p m e n t

sequence. L i k e w i s e , t h e d e s c u m step

can smooth out m i n o r irregularities o f the resist side w a l l . T h i s m i l d p l a s m a treatment is done w i t h 0

2

or 0 - C F 2

4

gases w i t h l o w p o w e r a n d pressure

settings, short process t i m e s , o r b o t h . T h e same p l a s m a u n d e r more-vigorous conditions m a y b e u s e d later to strip the resist at the e n d o f the m a s k i n g operation. Substrate Treatment. W h e n the d e s i r e d image is d e v e l o p e d i n t h e resist, the pattern created provides a template for substrate modification. T h e various c h e m i c a l a n d p h y s i c a l modifications c u r r e n t l y u s e d c a n b e classified into additive a n d subtractive treatments. E x a m p l e s of additive treatments i n c l u d e t h e i n s e r t i o n o f dopants (by e i t h e r diffusion o r i o n i m plantation) to alter t h e s e m i c o n d u c t o r characteristics a n d m e t a l deposition (followed b y lift-off o r electroplating) to c o m p l e t e a c o n d u c t i o n n e t w o r k . In most cases, h o w e v e r , t h e substrate m a t e r i a l is e t c h e d b y a subtractive process. Wet Etching. T r a d i t i o n a l l y , pattern transfer (etching) was p e r f o r m e d b y w e t etchants. T h e s e substances are generally corrosive l i q u i d s (e.g., h y d r o c h l o r i c , h y d r o f l u o r i c , p h o s p h o r i c , a n d n i t r i c acids) a n d c a n degrade potentially the r e m a i n i n g resist that serves as a conformable protective mask. A l t h o u g h these processes are s i m p l e a n d inexpensive, w e t - e t c h i n g processes, w h i c h are i n h e r e n t l y isotropic, f o r m r o u n d e d side w a l l profiles that l i m i t t h e c o n t r o l o f feature size. Process r e p r o d u c i b i l i t y is difficult, because m a n y factors, such as t e m p e r a t u r e , t i m e , degree of agitation, a n d b a t h c o m p o s i t i o n , affect t h e o u t c o m e . I n a d d i t i o n , " u n d e r c u t t i n g " o f the edges m a y result because o f insufficient resist adhesion to t h e substrate d u r i n g w e t e t c h i n g . I n this case, h i g h l y sloped side walls are f o r m e d that lead to a p o t e n t i a l failure m e c h a n i s m . S l o p e d edges, h o w e v e r , are p r e f e r r e d i n some instances. F o r example, sloped edges are sometimes u s e d to ensure that a subsequently d e p o s i t e d m a t e r i a l w i l l cover u n d e r l y i n g topography u n i f o r m l y a n d c o n t i n uously. F o r this purpose, c o n t r o l l e d u n d e r c u t t i n g m a y b e achieved b y d e p o s i t i n g , p r i o r to resist a p p l i c a t i o n , a t h i n layer of material, w h i c h dissolves

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O'BRIEN & SOANE

Resists in

Microlithography

361

i n the etchant m o r e r a p i d l y than it does i n the substrate. D e s p i t e m a n y undesirable features, w e t e t c h i n g is still w i d e l y u s e d i n the i n d u s t r y because of l o w e r capital costs a n d a large base of experience.

Dry Etching.

B o t h plasma e t c h i n g a n d R I E are feasible, w i t h different

r e s u l t i n g edge profiles (170-173).

R I E differs from c o n v e n t i o n a l plasma e t c h -

ing i n that the wafers b e i n g e t c h e d are p l a c e d on the r f - d r i v e n electrode, Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

w h i c h is negatively biased because of differences i n m o b i l i t i e s of electrons and positive ions i n the plasma. T h i s negative potential accelerates the ions i n the plasma t o w a r d the substrate a n d creates etch d i r e c t i o n a l i t y . T h e resulting e t c h e d profiles are thus anisotropic, w i t h the v e r t i c a l etch rate d o m i n a t i n g over the lateral etch rate. T h i s feature is i n sharp contrast to wet e t c h i n g , w h i c h often leaves the pattern o v e r e t c h e d (at least near the top). D r y e t c h i n g also obviates the use of toxic l i q u i d etchants, the disposal of w h i c h is a difficult p r o b l e m . H o w e v e r , the gases u s e d i n d r y processes are far from b e n i g n . M o s t effluents cause e q u a l l y difficult disposal p r o b l e m s and can be q u i t e d a m a g i n g to the p u m p i n g a n d reactor systems. T h e d e v e l o p e d resists must be able to h o l d u p against plasma etchants i n o r d e r to successfully serve as a mask. T h e c h e m i s t r y a n d physics of plasmas are extremely complex; C h a p t e r 8 of this book presents d e t a i l e d information. T h e use of oxygen R I E has p r o m o t e d the d e v e l o p m e n t of bilevel-resist schemes w i t h s i l i c o n - c o n t a i n i n g top-layer resists (174-175).

T h i s subject w i l l be deferred to a later section

for i n - d e p t h discussion.

Additive Processes. F o r a d d i t i v e processes, the k e y resist r e q u i r e m e n t is t h e r m a l stability. M o s t i o n i m p l a n t a t i o n or vapor dopant diffusion treatments occur at or i n d u c e h i g h temperatures. B o m b a r d m e n t of resists b y dopants can also cause degradation. H e n c e , the resists u s e d as dopant masks m u s t be especially strong, h i g h m e l t i n g , or h a r d e n e d . H a r d e n i n g can be a c h i e v e d b y e i t h e r p l a s m a treatment or U V exposure, w h i c h induces crossl i n k i n g . A n o t h e r additive process is m e t a l layer deposition. M e t a l deposition can b e a c h i e v e d b y condensation of evaporated atoms or, alternatively, b y sputtering, i n w h i c h a shower of ions (generally argon) physically knocks off i n d i v i d u a l atoms (or clusters) from a m e t a l target (170), a n d these species i m p i n g e o n the substrate. A t y p i c a l e x p e r i m e n t a l set u p begins w i t h a p l a s m a that serves as an i o n source. T h e c o l l i d i n g ions, w h i c h are accelerated t o w a r d the target b y a d i r e c t - c u r r e n t (dc) bias, create m o r p h o l o g i c a l damage, as w e l l as eject atoms. T h e sputtered atoms are physically ejected from the target surface b y the m o m e n t u m c a r r i e d b y the i n c o m i n g ions. I n this c o l lision cascade, some of the energy deposited b y the b o m b a r d i n g ions is reflected back t o w a r d the surface, a n d a fraction of the surface atoms acquire e n o u g h energy to escape.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

362

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Resist Stripping.

A f t e r t h e a d d i t i v e o r subtractive processes o f t h e

substrate are c o m p l e t e , t h e resist mask m u s t b e c o m p l e t e l y r e m o v e d b y e i t h e r w e t or d r y e t c h i n g . T h e selection o f resist s t r i p p e r is d e t e r m i n e d b y previous resist history (bakes, exposure to p l a s m a , etc.) that results i n c h e m ical alteration a n d b y t h e u n d e r l y i n g substrate stability (176). W e t etches are e i t h e r solvent-based o r inorganic reagents such as H S 0 , H N 0 2

4

3

or

H 0 . S o l v e n t - t y p e strippers are t y p i c a l l y acetone for positive resists, t r i c h 2

2

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

loroethylene for negative resists, o r c o m m e r c i a l products d e v e l o p e d to r e m o v e b o t h types o f resists. C o m m e r c i a l organic strippers w e r e i n i t i a l l y phenol-based solvents b u t have b e e n manufactured r e c e n t l y w i t h little o r n o p h e n o l as a result o f h e a l t h a n d safety issues associated w i t h t h e use o f this c h e m i c a l . P l a s m a s t r i p p i n g o r ashing o f resist w i t h e i t h e r 0

2

or 0 - C F 2

4

gases is clearly t h e m e t h o d o f choice from t h e standpoint o f c o n v e n i e n c e , cost, a n d safety. H o w e v e r , t h e m e t h o d cannot b e u s e d w i t h substrates that are e t c h e d b y these plasmas. Auxiliary Process Steps.

I n a d d i t i o n to t h e standard process steps,

auxiliary processes are sometimes necessary. T h e s e steps are n o t u s e d for all situations b u t as r e q u i r e d a n d w i l l b e c o n s i d e r e d i n this section separately. C e r t a i n s e m i c o n d u c t o r - m a n u f a c t u r i n g processes are p a r t i c u l a r l y d a m a g i n g to p o l y m e r i c films a n d r e q u i r e a n a d d i t i o n a l step to h a r d e n t h e resist. F o r e x a m p l e , A l e t c h i n g w i t h c h l o r i n e plasma produces A 1 C 1 , w h i c h degrades 3

resists. I o n i m p l a n t a t i o n , i n w h i c h t h e c h a m b e r t e m p e r a t u r e a n d , h e n c e , the wafer t e m p e r a t u r e increase w i t h increasing i m p l a n t dose, causes t h e r m a l deformation o f t h e resist image. O n e c o m m o n l y u s e d m e t h o d to stabilize novolac-based resists is d e e p - U V flood exposure after p a t t e r n i n g (177). W i t h d e e p - U V exposure, c r o s s - l i n k i n g o f the p o l y m e r surface produces a film w i t h increased t h e r m a l resistance. W i t h this p r o c e d u r e , positive resists can w i t h stand a 180 ° C bake for 30 m i n . F l u o r o c a r b o n plasma treatment also stabilizes resists (178), because fluorine i n s e r t i o n i m p e d e s subsequent oxidation o f the polymers. A s discussed p r e v i o u s l y , a n o p t i o n a l postexposure,

predevelopment

bake c a n r e d u c e p r o b l e m s w i t h t h e standing-wave effect i n D N Q - n o v o l a c positive resists. H o w e v e r , such a postexposure bake step is indispensable i n t h e image reversal o f positive resists (37-41) a n d certain resists based o n c h e m i c a l amplification of a photogenerated catalyst (64-67, 77, 78). F o r b o t h types o f resists, t h e c h e m i s t r y that differentiates b e t w e e n exposed a n d u n e x posed areas does n o t o c c u r solely d u r i n g irradiation. Instead, differentiation occurs p r e d o m i n a n t l y d u r i n g a subsequent bake. T h e r e f o r e , to obtain acceptable C D c o n t r o l i n these systems, the bake conditions m u s t b e carefully optimized and monitored. Rework.

M a s k i n g steps f r e q u e n t l y have t h e advantage over other I C -

m a n u f a c t u r i n g processes o f b e i n g able to undergo wafer r e w o r k . R e w o r k

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

363

involves t h e r e m o v a l o f t h e o r i g i n a l resist layer, c l e a n i n g t h e wafers, a n d starting o v e r from t h e spin-coating operation. R e w o r k is necessary w h e n a mistake such as a n out-of-specification m e a s u r e m e n t o r m i s a l i g n m e n t t o l erance is d i s c o v e r e d p r i o r to the substrate treatment step. H o w e v e r , reworks can b e p e r f o r m e d o n l y a finite n u m b e r o f t i m e s , a n d each r e w o r k operation generally carries t h e penalty o f some y i e l d loss. I n some cases, r e w o r k is

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

not possible at a l l because of the sensitivity of the u n d e r l y i n g substrate layers.

Multilevel-Resist Processes Motivation for Multilevel Systems. T o date, h i g h - v o l u m e I C p r o d u c t i o n is done almost exclusively w i t h one-layer resist m i c r o l i t h o g r a p h y . H o w e v e r , m u l t i l e v e l - r e s i s t processes are b e i n g d e v e l o p e d to c o n t e n d w i t h i n h e r e n t l i t h o g r a p h i c p r o b l e m s , such as reflectivity, back scatter (in t h e case of e-beam lithography), a n d u n e v e n topography. I n p a r t i c u l a r , t h e n e e d for planarization has b e c o m e i m p o r t a n t . A s d e v i c e geometries a n d l i n e pitches shrink to m e e t the r i s i n g d e m a n d for h i g h e r density c i r c u i t s , film thicknesses r e m a i n relatively constant. T h i s situation creates h i g h , n o n u n i f o r m steps i n m u l t i l a y e r topography a n d is most apparent i n t h e m e t a l l i c conductor layers of the c i r c u i t r y , w h i c h usually consist o f l o n g , tightly p i t c h e d lines. I n t h e metallic conductor layers, resist patterns o f h i g h aspect ratio ( ratio o f h e i g h t to w i d t h ) are r e q u i r e d for image d e f i n i t i o n . Inorganic dielectrics also suffer i n h e r e n t step coverage p r o b l e m s , because t h e y f o r m continuous layers o v e r the h i g h l y reflective n a r r o w lines a n d spaces of u n d e r l y i n g m e t a l . A s a result, the l i t h o g r a p h i c process for p a t t e r n i n g V L S I geometries has b e c o m e m o r e c h a l l e n g i n g , p a r t i c u l a r l y i n t h e case o f i n t e r c o n n e c t i n g m e t a l a n d d i e l e c t r i c films. C u r r e n t l y , strategies to planarize conductor a n d d i e l e c t r i c films are an area o f intensive investigation. T h e f o r m u l a t i o n o f a single-layer resist that can m e e t beyond-state-ofthe-art demands is a n arduous task. T o date, v e r y few such materials have b e e n a d v e r t i z e d , a n d t h e i r field performance is y e t to b e p r o v e n . T h e difficulty lies i n t h e fact that r e q u i r e m e n t s o f sensitivity, e t c h resistance, a n d planarization are m u t u a l l y exclusive. F o r example, t h i n n e r resists capable of h i g h e r resolution sacrifice substrate e t c h i n g protection a n d planarization. C o n s e q u e n t l y , the focus of lithographers lately has c e n t e r e d u p o n m u l t i l e v e l resist processes that d i s t r i b u t e desirable resist properties a m o n g several different organic a n d inorganic layers. A t y p i c a l m u l t i l e v e l structure consists o f a t h i c k , p l a n a r i z i n g b o t t o m layer, a n optional i n t e r m e d i a t e layer, a n d a t h i n top layer o f resist. Various e t c h i n g methods are u s e d to transfer t h e p r i n t e d image i n t o t h e substrate. These layers function synergistically to achieve good resolution, w h i c h is otherwise i m p o s s i b l e to o b t a i n w i t h single-layer resists. T h e trade-off for h i g h performance is a d d e d process c o m p l e x i t y r e s u l t i n g from the i n c o r p o ration of o n e o r m o r e a d d i t i o n a l layers for each l i t h o g r a p h i c step. T h i s a d d e d

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

364

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

c o m p l e x i t y represents a significant impact o n t h r o u g h p u t a n d y i e l d . F o r this reason, m u l t i l e v e l processes have not f o u n d t h e i r way yet into most p r o ­ d u c t i o n wafer processes (179). O p t i c a l , e - b e a m , a n d X - r a y lithographic processes a l l benefit from the advantages offered b y m u l t i l e v e l resists (180). F i r s t , i n the case of p h o t o ­ l i t h o g r a p h y , l i n e w i d t h variations due to v a r y i n g d e v e l o p m e n t times of n o n ­ u n i f o r m resist film thickness are r e d u c e d b y the u n d e r l y i n g p l a n a r i z i n g layer. W i d t h variations d u e to reflections of topographical features can be s u p ­ pressed by incorporation of antireflective agents, such as dyes, into the b o t t o m layer (181) or b y the application of c o m m e r c i a l l y available a n t i r e ­ flective coatings s p u n onto the surface of the b o t t o m resist (5). A s a result, the top layer is far r e m o v e d from the reflective surface a n d sees o n l y the i n c o m i n g image. T h e top layer is also relatively smooth, so that the projection optics views it o n the same focal p l a n e ; thus depth-of-focus p r o b l e m s are r e d u c e d . A f u r t h e r advantage of m u l t i l a y e r resists for optical l i t h o g r a p h y is the increase i n sensitivity of the resist i m a g i n g layer w i t h t h i n n e r films. M o t i v a t i o n s for the use of m u l t i l a y e r systems for e-beam lithography are reductions i n p r o x i m i t y a n d charging effects a n d an increased sensitivity r e s u l t i n g from the n e e d to image only a t h i n top-layer resist. e - B e a m p r o x ­ i m i t y effects are the result of electron back scattering, w h i c h broadens the contours of e n e r g y d e p o s i t i o n near the back side of the resist. I f adjacent p r i n t e d lines are p h y s i c a l l y close, the b r o a d e n e d contours b e g i n to overlap, and l i n e w i d t h distortions are p r o d u c e d . C h a r g i n g effects o b s e r v e d d u r i n g e-beam l i t h o g r a p h y can be a v o i d e d b y u s i n g a conductive i n t e r m e d i a t e layer i n a trilevel-resist process (182). X - r a y l i t h o g r a p h y also takes advantage of the increased resist sensitivity d u e to the t h i n n e r i m a g i n g films of m u l t i l a y e r systems. T h i n n e r i m a g i n g films further i m p r o v e X - r a y resolution b y m i n i m i z i n g the p e n u m b r a effect, a p r o b l e m associated w i t h an u n c o l l i m a t e d X - r a y b e a m . C o n s e q u e n t l y , the o b l i q u e exposure of features near pattern edges are m i n i m i z e d b y m u l t i l e v e l resist processes, t h e r e b y restoring the d e s i r e d profile. M u c h w o r k has b e e n done i n the area of multilayer-resist systems d u r i n g the last few years, a n d a variety of schemes have b e e n p r o d u c e d (180, 183, 184). T y p i c a l l y , h o w e v e r , m u l t i l e v e l resists refer to b i l e v e l a n d t r i l e v e l sys­ tems. B o t h systems incorporate a t h i c k e r b o t t o m p l a n a r i z i n g layer (typically a novolac resist or P M M A , w h i c h is approximately 1-2-μπι thick) amenable to pattern transfer w i t h e i t h e r a w e t - or d r y - e t c h process. T h e degree o f planarization d e p e n d s on resist solution properties, coating thickness, a n d u n d e r l y i n g topographic parameters, such as feature size, aspect ratio, p i t c h , a n d location o n the wafer. C o m p l e t e planarization is e x t r e m e l y difficult, a n d so i n reality, u n d e r l y i n g steps are only s m o o t h e n e d . O p t i m a l planarization is obtained for n a r r o w e r lines that are b u n c h e d closely, whereas the worst case is that for features far apart e n o u g h to be considered practically isolated (160, 185). P l a n a r i z a t i o n is also a function of p o l y m e r m o l e c u l a r w e i g h t ;

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

365

Microlithography

shorter p o l y m e r i c chains are better p l a n a r i z i n g agents. T h e top i m a g i n g layer functions as a portable conformai mask ( P C M ) for p a t t e r n i n g the b o t t o m layer. Trilevel Processes.

M u l t i l e v e l processes w e r e d e v e l o p e d d u r i n g the

1970s. T h e first r e p o r t e d m u l t i l e v e l process used four different layers o f material a n d t w o d r y - e t c h i n g steps (186). T r i l e v e l schemes use a n inorganic

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

i n t e r m e d i a t e film o r transfer layer, w h i c h is t y p i c a l l y a f e w h u n d r e d angstroms thick, that is deposited (by s p i n n i n g , s p u t t e r i n g , o r c h e m i c a l vapor deposition [ C V D ] ) o n top of the thick p l a n a r i z i n g layer. A variety o f materials have b e e n used as transfer layers, i n c l u d i n g A l , S i , G e , T i , S i O , S i N , a n d x

3

4

spin-on glass ( S O G ) (180, 1 8 3 , 184). A resist film o n w h i c h a n image is to b e p a t t e r n e d is a p p l i e d d i r e c t l y to the surface o f the i n t e r m e d i a t e transfer layer to form t h e t r i l e v e l structure ( F i g u r e 6a). Because t h e surface is n o w planar, t h e top resist layer c a n b e o p t i m i z e d for resolution a n d c a n b e r e l i e v e d somewhat from t h e strict r e q u i r e m e n t s of e t c h resistance a n d t h e r m a l stability. A f t e r the resist is p r i n t e d , the first pattern transfer into t h e isolation layer takes place b y w e t o r d r y e t c h i n g ( F i g u r e 6b). T h e second pattern transfer into t h e p l a n a r i z i n g layer is a c c o m p l i s h e d typically b y a d r y - e t c h process (by plasma e t c h i n g o r R I E ) . T h e isotropic or anisotropic nature of the etch d e t e r m i n e s t h e resist side w a l l profile. D u r i n g t h e second step, t h e i m a g i n g resist is frequently d e stroyed a n d t h e i n t e r m e d i a t e layer is left to serve as t h e etch mask ( F i g u r e 6c). T h e pattern o f the p l a n a r i z i n g layer is t h e n e t c h e d into t h e u n d e r l y i n g film. S u b s e q u e n t l y , t h e p l a n a r i z i n g layer is s t r i p p e d ( F i g u r e 6d). A l t h o u g h the t r i l e v e l process involves an a d d i t i o n a l t h i n - f i l m - d e p o s i t i o n step, i t is p a r t i c u l a r l y effective for m a x i m u m resolution over severe t o p o g raphy a n d , i n the case of R I E , for the generation of straight side walls (187). T h e t r i l e v e l process also avoids t h e formation o f resist interfacial layers, a c o m m o n p r o b l e m w i t h b i l e v e l systems. O p t i m i z a t i o n o f the image-transfer step enables t a i l o r i n g o f the edge profile for v e r t i c a l o r u n d e r c u t side walls (187). Variations o f this process have n o w b e e n d e v e l o p e d for specific a p plications. A s m e n t i o n e d p r e v i o u s l y , t h e a d d i t i o n o f dye to t h e p l a n a r i z i n g layer eliminates substrate reflectivity i n optical lithography (180). O n t h e negative side, t r i l e v e l processing is c o m p l i c a t e d a n d requires expensive e t c h ing a n d deposition e q u i p m e n t . Lift-Off Processes. E a r l y t r i l e v e l resist schemes w e r e d e v e l o p e d for metal lift-off processes (186). Lift-off technology is one m e t h o d o f o b t a i n i n g fine l i n e metallization w i t h a m u l t i l e v e l - r e s i s t process. I n this case, a resist thickness greater than t h e final metal thickness is deposited a n d p r i n t e d w i t h tapered side walls i n the negative image of the m e t a l c i r c u i t r y , w h i c h is a c c o m p l i s h e d b y u s i n g an inorganic transfer layer a n d d r y etching. T h u s , an o v e r h a n g o f t h e t h i n film is p r o d u c e d over t h e retrograde resist edge

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

366

MICROELECTRONICS PROCESSING: C H E M I C A L E N G I N E E R I N G ASPECTS

(d) Figure 6. Schematic of a trilevel-resist process, (a) The top imaging layer is separated from the bottom planarizing layer by a transfer (or isolation) layer, (b) The pattern of the top image is transferred into the isolation layer, (c) The top layer is removed, and the pattern is transferred from the isolation layer to the substrate through the planarizing foyer, (d) The remaining planarizing layer is stripped to complete the process. ( F i g u r e 7 a - b ) . M e t a l d e p o s i t i o n at l o w t e m p e r a t u r e follows, because h i g h t e m p e r a t u r e d e p o s i t i o n w o u l d degrade the resist a n d make it difficult to r e m o v e . A t h i c k layer o f m e t a l fills the w e l l created b y the resist a n d the t h i n - f i l m o v e r h a n g , a n d a discontinuous layer is f o r m e d that allows p e n e tration of solvent d u r i n g the lift-off step ( F i g u r e 7c). A s the resist dissolves a n d floats away, the inorganic film w i t h its o v e r l y i n g m e t a l is also r e m o v e d ( F i g u r e 7d). A l t h o u g h standard d r y e t c h i n g of some metals can b e effective i n o b t a i n i n g fine patterns, lift-off technology offers the advantage of smooth

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O ' B R I E N & SOANE

Resists in

Microlithography

367

-Resist -Transfer Layer • - P l a n a r i z i n g Layer

777777777777Z~-™« Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

(•)

'////////////, (b)

Metal

(d) Figure 7. Metal lift-off process using a trilevel-resist scheme, (a and b) The image created in the top-layer resist is transferred via the isofotion foyer to the bottom plananzing layer by an isotropic etch, (c) The sloped side wall of the planarizing layer has an overhanging transfer layer that breaks up the continuity of the metal film sputter deposited onto the system, (d) Subsequent dissolution of the bottom layer cames off parts of the metal film adhering to the resist layers, and well-defined metal lines are left. edges of the metallization p r o f i l e , w h i c h p r e c l u d e s poor step coverage d u r i n g the subsequent d e p o s i t i o n step.

Bilevel Processes. A b i l e v e l system consists of a t h i c k resist at the base a n d a t h i n i m a g i n g resist o n top. M a n y variations have n o w b e e n r e p o r t e d . C o n v e n t i o n a l image transfer i n t o the b o t t o m layer is a c c o m p l i s h e d

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

368

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

b y d e e p - U V exposure, as w i t h the P C M (188). R e c e n t l y , an alternative approach u s i n g R I E a n d n e w oxygen-etch resistant materials as top layers has b e e n r e p o r t e d . I n a t y p i c a l P C M process, the u p p e r resist is exposed a n d d e v e l o p e d w i t h n e a r - U V or e-beam radiation. T h i s material, for instance, may b e a novolac-type resist that strongly absorbs i n the d e e p - U V region. T h e b o t t o m layer is a d e e p - U V - s e n s i t i v e material, typically P M M A . H e n c e , subsequent d e e p - U V flood exposure replicates the pattern d e l i n e a t e d b y the top layer, w h i c h acts as a P C M . T h e b o t t o m layer can b e d e v e l o p e d (by u s i n g solvents) w i t h the novolac cap r e m o v e d or retained.

Radiation Mask

0

2

Etch Resistant Resist

Planarizing Layer Layer to be patterned Metal y*—Substrate Development

mm

0

2

RIE

Figure 8. Schematic of a bilevel-resist process using a silicon-containing top layer. Pattern transfer to the bottom planarizing layer is achieved by oxygen ME.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

Resists in

O ' B R I E N & SOANE

369

Microlithography

P r o b l e m s associated w i t h this system are interfacial m i x i n g r e s u l t i n g i n poor image d e f i n i t i o n , l o n g exposure times for P M M A , reflectivity from the substrate, a n d the r e l a t i v e l y l o w glass transition t e m p e r a t u r e of P M M A . Several i m p r o v e m e n t s have b e e n made n o w o n the o r i g i n a l system, i n c l u d i n g dye a d d i t i o n , use of antireflective coatings, a n d m o r e - p o w e r f u l d e e p - U V sources. T h e d e v e l o p m e n t of a n e w material, P M G I [ p o l y ( d i m e t h y l glutarimide)] (58) is noteworthy. W h e n u s e d as t h e p l a n a r i z i n g l a y e r , P M G I p r o -

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

vides increased t h e r m a l a n d p l a s m a e t c h stability a n d the a d d e d advantage o f d e v e l o p m e n t i n aqueous solvents. R e c e n t d e v e l o p m e n t s i n organometallie p o l y m e r s , p r i m a r i l y those c o n t a i n i n g S i , have e n h a n c e d dramatically t h e interest i n b i l a y e r l i t h o g r a p h y . W i t h these n e w materials to replace the c o n v e n t i o n a l photoresist or e-beam resist, a single d r y - e t c h step is r e q u i r e d for image transfer i n t o the b o t t o m p l a n a r i z i n g layer ( F i g u r e 8). A s a result, the n u m b e r of publications r e p o r t i n g n e w S i - c o n t a i n i n g resist d u r i n g the last 2 years has m u s h r o o m e d at a p h e n o m e n a l rate. A n exhaustive r e v i e w is b e y o n d the scope of this chapter.

Table I. Silicon-Containing Resists Type

Resist Poly(vinylmethylsiloxane) Poly(dimethylsiloxane) Poly(trimethylstyrene-co-chloromethylstyrene) Poly(trimethylstyrene-co-chlorostyrene) Poly(dimethylsiloxane-co-methylphenylsiloxane-co-methylvinylsiloxane Chloromethylated poly(diphenylsiloxane) Poly(triallylphenylsilane)-bisazide Polysilane Terpolymer of phenol-trimethylsilylphenolformaldehyde-0-quinonediazide Trimethylsilyl-substituted PMMA Poly(trimethylsilylmethyl methacrylate-co3-oximino-2-butanone methacrylate) Poly(ethylphenylstyrene) Poly(3-butenyltrimethylsilane sulfone) Trimethylsilylmethylated resorcinolformaldehyde-naphthoquinonediazide Poly(methyl methacrylate)-gpoly(dimethylsiloxane) Poly(phenylsilsesquioxane)-phenylT (OH) -OFPR-800 Poly(methylstyrene-fc-dimethylsiloxane) 4

Negative e-beam, deep U V Negative e-beam, deep U V Negative photon, e-beam Negative e-beam, deep U V Negative deep U V Positive deep U V , mid U V Positive near U V

189

Positive deep U V Positive deep U V

171 198

Positive deep U V Positive e-beam Positive near U V

199 200 201

Positive e-beam

202

Positive near U V

203

Negative e-beam

172

190, 191 192 193 194 195, 196 197

fl

4

"PhenylT (OH) is cis-( 1,3,5,7-tetrahydroxy)-1,3,5,7-tetraphenylcyclotetrasiloxane. is a commercially available positive photoresist. 4

Reference

4

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

OFPR-800

370

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Silicon-Containing Resists. Photosensitive s i l i c o n - c o n t a i n i n g m a ­ terials c o m b i n e the properties o f c o n v e n t i o n a l resists w i t h the resistance o f silicon to e t c h i n g b y 0 plasma. I n effect, the functions of the i m a g i n g resist a n d the inorganic transfer layer, w h i c h was d e s c r i b e d for t r i l e v e l processes, are n o w s e r v e d b y one f i l m . E v e n t h o u g h this area has b e e n the focus o f a great d e a l o f research a c t i v i t y , c o m m e r c i a l products are not available yet. S o m e p r o b l e m s e n c o u n t e r e d are r e d u c e d photosensitivity, w h i c h results from the h i g h s i l i c o n content r e q u i r e d for effective 0 e t c h resistance, r e ­ d u c e d p o l y m e r T , a n d h y d r o p h o b i c i t y . I d e a l l y , etching-rate ratios greater than 10:1 s h o u l d exist b e t w e e n the resist a n d the p l a n a r i z i n g layer to m i n ­ i m i z e l i n e w i d t h erosion. T h e majority of these n e w resists contain s i l i c o n i n the p o l y m e r backbone (polysiloxanes a n d polysilanes) o r i n the side chains. T r e a t m e n t w i t h an 0 p l a s m a leads to the formation of s i l i c o n oxides, w h i c h generate a p r o t e c t i v e layer o n the p o l y m e r surface. N e g a t i v e a n d positive resists have b e e n r e p o r t e d that are sensitive to b o t h U V a n d e-beam r a d i a ­ t i o n ; T a b l e I gives some examples. T h e topic of R I E pattern-transfer l i t h o g ­ raphy has b e e n r e v i e w e d r e c e n t l y (184). 2

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

2

g

2

References 1. Deforest, W. S. In Photoresist Materials and Processes; McGraw-Hill: New York, 1975. 2. Cuthbert, J. D. Solid State Technol. 1977, 20(10), 59. 3. Lin, Y. C.; Purdes, A. J.; Saller, S. Α.; Hunter, W. R. Tech. Digest Int. Electron Devices Meeting, San Francisco, CA, 1982; p 399. 4. Brewar, T.; Carlson, R.; Arnold, J. J. Appl. Photographr. Eng. 1981, 7(6), 184. 5. Walker, E. J. IEEE Trans. Electron Devices 1975, ED-22, 464. 6. Willson, G.; Miller, R.; McKean, D.; Clecak N.; Tompkins, T.; Hofer, D. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Nov. 1982, p 111. 7. Jain, K.; Willson, C. G.; Lin, B. J. IEEE Electron Device Lett. 1982, EDL-3(3), 53. 8. Pol, V.; Bennewitz, J. H . ; Escher, G. C.; Feldman, M . ; Firtion, V. Α.; Jewell, T. E.; Wilcomb, Β. E.; Clemens, J. T. Proc. SPIE Conf. Opt. Microlithogr. V 1986, 633, 6. 9. Kyser, D.; Viswanathan, N. S. J. Vac. Sci. Technol. 1975, 12, 1305. 10. Luethje, H . Phillips Tech. Rev. 1983/84, 41(5), 150. 11. Gong, B. M . ; Ye, Y. D. J . Vac. Sci. Technol. 1981, 19(4), 1204. 12. Wada, T.; Sukuria, S.; Kawabuchi, K. J . Vac. Sci. Technol. 1981, 19(4), 1208. 13. Papanu, J.; Manjkow, J.; Soong, D. S.; Hess, D. W.; Bell, A. T. to be published in J. Electrochem. Soc. and J. Appl. Phys.. 14. Willson, C. G. In Introduction to Microlithography; Thompson, L. F.; Willson, C. G.; Bowden, M. J., Eds.; ACS Symposium Series 219, American Chemical Society: Washington, D C , 1983; p 87. 15. Radiation Chemistry of Macromolecules; Dole, M . , Ed.; Academic: New York, 1973. 16. Kilb, R. J. Phys. Chem. 1959, 63, 1838. 17. Erasmus, S. R. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Oct. 1985, p 297.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

7.

O'BRIEN & SOANE

18. 19. 20. 21. 22. 23.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37.

38. 39. 40. 41.

42.

43. 44. 45. 46.

Resists in

Microlithography

371

Collins, R. H . ; Deverse, F. T., U. S. Patent 3,549,368, 1970. Singer, P. H . Semicond. Int. 1985, 8(10), 68. Thompson, L. F.; Kervin, R. E. Annu. Rev. Mater. Sci. 1976, 6, 267. Stein, Α.; A Waycoat Tutorial; P. A. Hunt Chemical Corp.: Palisades Park, NJ. Cox, D. S.; Mills, A. R. Chem. Eng. Prog. 1985, 1, 11. Benedikt, G. M. Proc. SPIE Conf., Adv. Resist Technol. Process. II 1985, 539, 242. Iwayanagi, T.; Kohashi, T.; Nonogaki, S.; Matsuzawa, T.; Donta, K.; Yanazawa, H. IEEE Trans. Electron Devices 1981, ED-28, 1306. Pacansky, J.; Lyerla, J. R. IBM J. Res. Develop. 1979, 23, 42. Hanabata, M . ; Furuta, Α.; Uemura, Y. Proc. SPIE Conf., Adv. Resist Technol. Process. IV 1987, 771, 85. Hanabata, M . ; Furuta, Α.; Uemura, Y. Proc. SPIE Conf., Adv. Resist Technol. Process. III 1986, 631, 76. Templeton, M . K.; Szmanda, C. R.; Zampini, A. Proc. SPIE Conf., Adv. Resist Technol. Process. IV 1987, 771, 136. Trefonas, P. III; Daniels, B. K. Proc. SPIE Conf., Adv. Resist Technol. Process. IV 1987, 771, 194. Trefonas, P. III; Daniels, Β. K.; Fischer, R. L . , Jr. Solid State Technol. 1987, 30, 131. For example, see Lazarus, R. M . ; Dixit, S. S. Proc. SPIE Conf., Electron­ -Beam, X-Ray, and Ion-Beam Lithographies VI 1987, 773, 68. Watts, M . P. C.; DeBruin, D. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Oct. 1985, p 285. Bohland, J. F.; Sandford, H . F, Fine, S. A. Proc. SPIE Conf., Adv. Resist Technol. Process. II 1985, 539, 267. Pampalone, T. R.; Kuyan, F. A. J. Electrochem. Soc. 1988, 135, 471. Okuda, Y.; Ohkuma, T.; Takashima, Y.; Miyai, Y.; Inoue, M . Proc. SPIE Conf., Adv. Resist Technol. Process. IV 1987, 771, 61. Petersen, J. S.; Kozlowski, A. E. Proc. SPIE Conf., Adv. Resist Technol. 1984, 469, 46. MacDonald, S. Α.; Miller, R. D.; Willson, C. G . ; Feinberg, G. M . ; Gleason, R. T.; Halverson, R. M . ; Maclntyre, M. W.; Motsiff, W. T. Proc. Kodak In­ terface, San Diego, CA, 1982; ρ 114. Ailing, E.; Stauffer, C. Proc. SPIE Conf., Adv. Resist Technol. Process. II 1985, 539, 194. Gijssen, R. M. R.; Kroon, H . J. J.; Vollenbroek, F. Α.; Vervoordeldonk, R. Proc. SPIE Conf., Adv. Resist Technol. Process. III 1986, 631, 108. Spak, M . ; Mammato, D . ; Jain, S.; Durham, D. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Oct. 1985; p 247. Grunwald, J. J.; Cordes, W. F. III; Ben-Shushan, G . ; Gal, C.; Harding, K.; Spencer, A. C.; Shalom, E. Proc. SPIE Conf., Adv. Resist Technol. Process. IV 1987, 771, 317. Roland, B.; Vandendriessche, J.; Lombaerts, R.; Denturck, B.; Jakus, C. Proc. SPIE Conf., Adv. Resist Technol. Process. V 1988, 920, 120 and references cited therein. Griffing, B. F.; West, P. R. Polym. Eng. Sci. 1983, 23, 947. Griffing, B. F.; West, P. R.; Balch, E. W. Proc. SPIE Conf., Adv. Resist Technol. 1984, 469, 94. Griffing, B. F.; Lornenson, W. E. Proc. SPIE Conf., Adv. Resist Technol. 1984, 469, 102. Petrillo, Κ. E.; Smyth, M. J . ; Hall, D. R. Proc. SPIE Conf., Adv. in Resist Technol. Process. V 1988, 920, 82.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

372

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

47. Lin, B. J. J . Vac. Sci. Technol. 1975, 12(6), 1317. 48. Chandross, Ε. Α.; Reichmanis E.; Wilkins, C. W., Jr.; Hartless, R. L. Solid State Technol. 1981, 24(8), 81. 49. Kaplan, M.; Levine, A. W.; Poliniak, E. S. Polym. Eng. Sci. 1974, 14, 518. 50. Tsuda, M . ; Oikawa, S.; Nakamura, Y.; Nagata, H . ; Yokota, Α.; Nakane, H . ; Tsumori, T.; Nakane, Y.; Mifune, T. Photogr. Sci. Tech. 1979, 23, 290. 51. Hiraoka, H . ; Welsh, L. W., Jr. In Polymers in Electronics; Davidson, T.; E d . ; ACS Symposium Series 242, American Chemical Society: Washington, D C ; 1984, p 55. 52. Bowden, M . J . ; Chandross, E. A. J. Electrochem. Soc. 1975, 122(10), 1371. 53. Yamashita, Y.; Ogura, Kunishi, K.; M . ; Kawazu, R.; Ohno, S.; Mizokami, Y. J. Vac. Sci. Technol. 1979, 16(6), 2026. 54. Grant, B. D.; Clecak, N. J.; Twieg, R. J.; Willson, C. G. IEEE Trans. Electron Devices 1981, ED-28, 1300. 55. Willson, C. G . ; Miller, R. D.; McKean, D. R.; Pederson, L. Α.; Regitz, M. Proc. SPIE Conf., Adv. Resist Technol. Process. IV 1987, 771, 2. 56. Schwartzkopf, G. Proc. SPIE Conf., Adv. Resist Technol. Process. V 1988, 920, 51. 57. Gipstein, E.; Ouano, A. C.; Tompkins, T. J. Electrochem. Soc. 1982, 229, 201. 58. Legenza, M . W.; Vidusek, D. Α.; de Grandpre, M. Proc. SPIE Conf., Adv. Resist Technol. Process. II 1985, 539, 250. 59. Reichmanis, E.; Wilkins, C. W., Jr.; Chandross, E. A. J. Vac. Sci. Technol. 1981, 19, 1338. 60. Reichmanis, E.; Wilkins, C. W., Jr., Price, D. Α.; Chandross, E. A. J . Elec­ trochem. Soc. 1983, 130, 1433. 61. Reichmanis, E.; Gooden, R.; Wilkins, C. W., Jr.; Schonhorn, H . J. Polym. Sci. : Polym. Chem. Ed. 1983, 21, 1075. 62. Reichmanis, E.; Smith, B. C.; Smolinsky, G . ; Wilkins, C. W., Jr., J . Electro­ chem. Soc. 1987, 134, 653. 63. Smith, G. H.; Bonham, J. Α.; U. S. Patent 3,779,778, 1973. 64. Frechet, J. M. J . ; Ito, H.; Willson, C. G. Proc. Microcircuit Eng. 1982, 82, 260. 65. Frechet, J. M. J.; Eichler, E.; Willson, C. G.; Ito, H. Polymer 1983, 24, 995. 66. Ito, H . ; Willson, C. G.; Frechet, J. M. J . ; Farrall, M. J . ; Eichler, E. Macromolecules 1983, 16, 510. 67. Ito, H.; Willson, C. G.; Frechet, J. M. J . ; U. S. Patent 4,491,628, 1985. 68. Umbach, C. P.; Broers, A. N . ; Willson, C. G.; Koch, R.; Laibowitz, R. B. J . Vac. Sci. Technol. 1988, 6, 319. 69. Osuch, C. E.; Brahim, K.; Hopf, F. R.; McFarland, M. J.; Mooring, Α.; Wu, C. J. Proc. SPIE Conf., Adv. Resist Technol. Process. III 1986, 631, 68. 70. Turner, S. R.; Willson, C. G.; In Polymers for High Technology: Electronics and Photonics; Bowden, M . J . ; Turner, S. R., Eds.; ACS Symposium Series 346, American Chemical Society: Washington, D C ; 1987, p 200. 71. Conlon, D. Α.; Crivello, J. V.; Lee, J. L, O'Brien, M. J. Macromolecules 1989, 22, 509. See also Crivello, J. V.; U . S. Patent 4,603,101, 1986. 72. Buiguez, F.; Guibert, J. Ch.; Tacussel, M . Ch.; Rosilio, C.; Rosilio, A. Proc. Microcircuit Eng. 1984, 471. 73. McFarland, J. C.; Orvek, K. J . ; Ditmer, G. A. Proc. SPIE Conf., Adv. Resist Technol. Process. V 1988, 920, 162. 74. Narang, S. C.; Attarwala, S. T. Polym. Prepr. 1985, 26, 323 and U. S. Patent 4,663,269, 1987. 75. Frechet, J. M. J . ; Bouchard, F.; Houlihan, F. M . ; Kryczka, B.; Eichler, E.; Clecak, N.; Willson, C. G. J . Imaging Sci. 1986, 30, 59.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

7.

O ' B R I E N & SOANE

Resists in

Microlithography

373

76. Willson, C. G.; Ito, H . ; Frechet, J. M. J.; Houlihan, F. M. Proc. IUPAC 28th Macromol. Symp. 1982, 448. 77. O'Brien, M. J.; Crivello, J. V. Proc. SPIE Conf., Adv. Resist Technol. Process. V 1988, 920, 42. 78. McKean, D. R.; MacDonald, S. Α.; Clecak, N. J.; Willson, C. G. Proc. SPIE Conf., Adv. Resist Technol. Process. V 1988, 920, 60. 79. Newman, S.; U. S. Patent 4,708,925, 1987. 80. Ito, H. Proc. SPIE Conf., Adv. Resist Technol. Process. V 1988, 920, 33. 81. Roth, M.; Eur. Pat. Appl. EP 202, 196; 1986, Chem. Abstr. 1987, 106, 205254q. 82. Azuma, T.; Aoso, T.; Kamiya, Α.; Kita, N., Jpn. Kokai, Tokkyo Koho Japanese Patent 61,169,835, 1986. 83. Bowden, M. J. In Materials for Microlithography; Thompson, L. F.; Willson, C. G.; and Bowden, M. J., Eds.; ACS Symposium Series 266, American Chem­ ical Society: Washington, D C ; 1984, p 39. 84. Toshiaki, T.; Imamura, S.; Sugawara, S. In Polymers in Electronics; Davidson, T.; E d . ; ACS Symposium Series 242, American Chemical Society: Washington D C ; 1984, p 103. 85. Watts, M. P. C. Solid State Technol. 1984, 27(2), 111. 86. Takahashi, Y. Semicond. Int. 1984, 7(22), 91. 87. Hatzakis, M. J. Electrochem. Soc. 1969, 116, 1033. 88. Hiraoka, H . IBM J. Res. Develop. 1977, 21, 121. 89. Moreau, W. M. Proc. SPIE Conf. 1982, 333, 2. 90. Moreau, W.; Merrit, D.; Moyer, W.; Hatzakis, M.; Johnson, D.; Pederson, L. J. Vac. Sci. Technol. 1979, 16, 1989. 91. Kakuchi, M.; Sugawara, S.; Murase, K.; Matsuyama, K. J. Electrochem. Soc. 1977, 224, 1648. 92. Sakakibara, Y.; Ogawa, T.; Komatsu, K.; Moriya, S.; Kobayashi, M.; Kobayashi, T. IEEE Trans. 1981, ED-28, 1279. 93. Harada, K. J. Appl. Polym. Sci. 1981, 26, 3395. 94. Clemens, S. In Plastics for Electronics; Goosey, M. T.; E d . ; Elsevier: London, 1985, p 207. 95. Himics, R. J.; Kaplan, M.; Desai, Ν. V.; Poliniak, E. S. Polym. Eng. Sci. 1977, 17, 406. 96. Thompson, L. F.; Bowden, M. J. J. Electrochem. Soc. 1973, 120, 1722. 97. Broun, J. R.; O'Donnell, J. H. Macromolecules, 1972, 5, 109. 98. Bowmer, T. N.; O'Donnell, J. H.; Radiat. Phys. Chem. 1973, 17, 177. 99. Bowden, M. J.; Thompson, L. F.; Fahrenhold, S. R.; Doerries, Ε . M. J. Electrochem. Soc. 1981, 128, 1304. 100. Ito, H.; Pederson, L. Α.; MacDonald, S. Α.; Cheng, Y. Y.; Lyerla, J. L . ; Willson, C. G. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Oct. 1986, p 127. 101. Tanigaki, Κ. J. Vac. Sci. Technol. Β 1988, 6, 91 and references cited therein. 102. Thompson, L. F.; Feit, E. D.; Heidenreich, R. D. Polym. Eng. Sci. 1974, 14, 529. 103. Thompson, L. F.; Ballantyne, J. P.; Feit, E. D. J. Vac. Sci. Technol 1975, 12, 1280. 104. Taniguchi, Y.; Hatano, Y.; Shiraishi, H . ; Horigami, S.; Nonogaki, S.; Naraoka, K.; Jpn. J. Appl. Phys. 1979, 18, 1143. 105. Lai, J. H.; Shepard, L. T. J. Electrochem. Soc. 1979, 126, 696. 106. Imamura, S.; Tamamura, T.; Harada, K.; Sugawara, S. J. Appl. Polym. Sci. 1982, 27, 937. 107. Shiraishi, H . ; Taniguchi, Y.; Horigami, S.; Nonogaki, S. Polym. Eng. Sci. 1980, 20(16), 1054.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

374

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

108. Feit, E.; Stillwagon, L. Polym. Eng. Sci. 1980, 20(16), 1058. 109. Liutkis, J.; Paraszezak, J.; Shaw, J.; Hatzakis, M. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Nov. 1982, p 223. 110. Thompson, L. F.; Stillwagon, L. E.; Doerries, Ε. M. J. Vac. Sci. Technol. 1978, 15, 938. 111. Ohnishi, Y. J. Vac. Sci. Technol. 1981, 14, 1136. 112. Sukegawa, K.; Sugawara, S.; Jpn. J. Appl. Phys. 1981, 20, L583. 113. Hofer, D. C.; Kaufman, F. B.; Kramer, S. R.; Aviram, Α.; Appl. Phys. Lett. 1980, 37(3), 314. 114. Daly, R. C.; Hahrahan, M. J.; Blevins, R. W. Proc. SPIE Conf, Adv. Resist Technol. Process. II 1985, 539, 138. 115. Shiraishi, H.; Hayashi, N.; Ueno, T.; Suga, O.; Murai, F. Proc. PMSE, ACS, 1986, 55, 279. 116. Taylor, G. N. Solid State Technol. 1984, 27(6), 124. 117. Yamaoka, T.; Tsunoda, T.; Goto, Y.; Photogr. Sci. Eng. 1979, 23, 196. 118. Taylor, G. N.; Coquin, G. Α., Someku, S. Polym. Eng. Sci. 1977, 17, 420. 119. Taylor, G. N.; Wolf, T. M. J. Electrochem. Soc. 1980, 127, 2665. 120. Murase, K.; Kakuehi, M.; Sugawara, S.; Int. Conf. Microlithogr. Paris, June 1977. 121. Taylor, G. N.; Solid State Technol. 1980, 23(5), 73. 122. Smith, H. I.; Flanders, D. C. J. Vac. Sci. Technol. 1980, 17, 533. 123. Haller, I.; Feder, R.; Hatzakis, M.; Spiller, E.J.Electrochem. Soc. 1979, 126, 154. 124. Ouano, A. C. Polym. Eng. Sci. 1978, 18, 306. 125. Kakuehi, M.; Sugawara, S.; Murase, K.; Matsuyama, K. J. Electrochem. Soc. 1977, 124, 1648. 126. Mochiji, K.; Kimura, T.; Microelectron. Eng. 1986, 4, 251. 127. Dossel, K.; Huber, H. L.; Oertel, H.; Microelectron. Eng. 1986, 5, 97. 128. Dammel, R.; Dossel, K.; Lingnau, J.; Theis, J.; Huber, H. L.; Oertel, H. Microelectron. Eng. 1987, 6, 503. 129. Tarascon, R.; Hartney, M.; Bowden, M. J. In Materials for Microlithography; Thompson, L. F.; Willson, C. G.; Bowden, M. J., Eds.; ACS Symposium Series 266, American Chemical Society: Washington, DC; 1984, p 39. 130. Choong, H. S.; Kahn, F. J. J. Vac. Sci. Technol. 1981, 19, 1121. 131. Tamamura, T.; Sukegawa, K.; Sugawara, S. J. Electrochem. Soc. 1982, 129, 831. 132. Bruns, Α.; Luethje, H.; Vollenbroek, F. Α.; Spiertz, Ε. J. Microelectron. Eng. 1987, 6, 467. 133. Taylor, G. N.; Wolf, T. M.; Stillwagon, L. E. Solid State Technol. 1984, 27(2), 145. 134. Roberts, E. D. Solid State Technol. 1984, 27(6), 135. 135. Bowden, M.J.;Thompson, L. F. ACS Appl. Polym. Symp. 1974, 23, 99. 136. Ito, H.; Willson, C. G. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Nov. 1982, ρ 331. 137. Yamada, M.; Tamano, J.; Yoneda, K.; Moritu, S.; Hattori, S.; Jpn. J. Appl. Phys. 1982, 12, 768. 138. Hatada, K.; Kitiyama, T.; Danjo, S.; Yuki, H.; Aritome, H.; Namaba, S.; Nate, K.; Yokono, H. Polym. Bull. 1982, 8, 469. 139. Tsuda, M.; Oikawa, S.; Yabuta, M.; Yokota, Α.; Nakane, H.; Atoda, N.; Hoh, K.; Gamo, K.; Namba, S. Proc. SPE Regional Tech. Conf. Photopolym., El­ lenville, NY, Oct. 1985, p 369.

140. Tomkiewicz, Y.; Engler, Ε. M.; Kuptsis, J. D.; Schad, R. G.; Patel, V. V.; Hatzakis, M. Appl. Phys. Lett. 1982, 40, 90. 141. Taylor, G. N.; Wolf, T. M.; Moran, J. M. J. Vac. Sci. Technol. 1981, 19, 872.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

7.

O ' B R I E N & SOANE

Resists in

Microlithography

375

142. Taylor, G. N . ; Wolf, T. M. Proc. Microcircuit Eng. 81, Lausanne, Switzerland Sept. 1981, P 381. 143. Morita, S.; Tamano, J . ; Hattori, S.; Ieda, M. J. Appl. Phys. 1980, 51, 3938. 144. Hiraoka, H . J. Electrochem. Soc. 1981, 128, 1065. 145. Tsuda, M . ; Oikawa, O.; Kanai, W.; Hashimoto, K.; Yokota, Α.; Nuino, K.; Hijikata, I.; Uehara, Α.; Nakane, H . J. Vac. Sci. Technol. 1981, 19, 1351. 146. Venkatesan, T.; Taylor, G. N . ; Wagner, Α.; Wilkens, B.; Bar, D. J. Vac. Sci. Technol. 1981, 19, 1379. 147. Kuwano, H . J. Appl. Phys. 1984, 55, 1149. 148. Taylor, G. N.; Stillwagon, L. E.; Venkatesan, T. J. Electrochem. Soc. 1984, 131, 1658. 149. Wolf, E. D.; Taylor, G. N.; Venkatesan, T.; Kretsch, R. T. J. Electrochem. Soc. 1984, 131, 1664. 150. MacDonald, S. A.; Ito, H . ; Willson, C. G. Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Oct. 1985, P 177. 151. Blodgett, K. L.; Langmuir, I. Phys. Rev. 1937, 51, 964. 152. Roberts, G. G. Adv. Phys. 1985, 34(4), 475. 153. Barrand, A. Thin Solid Films 1983, 99, 317. 154. Fariss, G.; Lando, J . ; Rickert, S. Thin Solid Films 1983, 99, 305. 155. Boers, A. N.; Promerantz, M. Thin Solid Films 1983, 99, 323. 156. Thompson, L. F.; Bowden, M. J. In Introduction to Microlithography; Thomp­ son, L. F.; Willson, C. G.; Bowden, M. J . , Eds.; ACS Symposium Series 219, American Chemical Society: Washington D C ; 1983, P 160. 157. Jenekhe, S. A. Polym. Eng. Sci. 1983, 23, 713, 830. 158. Meyerhofer, D. J. Appl. Phys. 1978, 49, 3993. 159. Flack, W. W.; Soong, D. S.; Bell, A. T.; Hess, D. W., J. Appl. Phys. 1984, 56, 1199. 160. White, L. K. Proc. SPIE Conf., Adv. Resist Technol. Process. II 1985, 539, 29.

161. Majkow, J . ; M. S. Thesis, University of California, Berkeley, 1986. 162. Hinsberg, W. D.; Gutierrez, M. L. Proc. Kodak Microelectron. Seminar, 1983, 52.

163. Onano, A. C. In Polymers in Electronics; Davidson, T., E d . ; ACS Symposium Series 242, American Chemical Society: Washington D C ; 1984, P 79. 164. Tu, Y. O.; Ouano, Α. C. IBM J. Res. Develop. 1977, 21:2, 131. 165. Soong, D. S. Proc. SPIE Conf., Adv. Resist Technol. Process. II 1985, 539, 2. 166. Exterkamp, M . ; Wong, W.; Damar, H . ; Neureuther, A. R.; Ting, C. W.; Oldham, W. G. Proc. SPIE Conf., Opt. Microlithogr. 1982, 334, 182. 167. Manjkow, J . ; Papanu, J. S.; Soong, D. S.; Hess, D. W ; Bell, A. T. J. Appl. Phys. 1987, 62, 682. 168. Manjkow, J . ; Papanu, J. S.; Hess, D. W.; Soane (Soong), D. S.; Bell, A. T. J. Electrochem.

Soc. 1987, 134, 2003.

169. 170. 171. 172.

Papanu, J. S.; Ph. D. Dissertation, University of California, Berkeley, 1987. Chapman, B. Glow Discharge Processes; Wiley: New York, 1980. Sawin, H . H . Solid State Technol. 1985, 28(4), 211. Mucha, J. Α.; Hess, D. W. In Introduction to Microlithography; Thompson, L. F.; Willson, C. G.; Bowden, M . J . , Eds.; ACS Symposium Series 219, American Chemical Society: Washington D C ; 1983, P 215. 173. Coburn, J. W.; Kay, E. IBM J. Res. Develop. 1979, 23, 33. 174. Reichmanis, E.; Smolinsky, G. Proc. SPIE Conf., Adv. Resist Technol. 1984, 469,

38.

175. Hartney, Μ. Α.; Novembre, A. E. Proc. SPIE Conf., Adv. Resist Technol. Process. II 1985, 539, 90. 176. Kaplan, L . ; Bergin, B. J. Electrochem. Soc. 1980, 127(2), 386. Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.

376

MICROELECTRONICS PROCESSING: C H E M I C A L ENGINEERING ASPECTS

Allen, R.; Foster, M . ; Yen, Y. T. J. Electrochem. Soc. 1982, 129(6), 1379. Ma, W. H - L . Proc. SPIE Conf., Submicron Lithogr. 1982, 333, 19. Burggraaf, P. Semicond. Int. 1985, 28(8), 88. Lin, B. J. In Introduction to Microlithography; Thompson, L . F.; Willson, C. G.; Bowden, M . J . , Eds.; ACS Symposium Series 219, American Chemical Society: Washington D C ; 1983, p 279. 181. O'Toole, M . M . ; Liu, E . D.; Chang, M . S. Proc. SPIE Conf., Develop. Sem­ icond. Microlithogr. IV 1981, 128. 182. Suzuki, M . ; Namamatsu, H.; Yashikawa, A. J. Vac. Sci. Technol. 1984, B,2:6, 665. 183. Hatzakis, M . Solid State Technol. 1981, 24(8), 74. 184. McDonnell Bushnell, L. P.; Gregor, L . V.; Lyons, C. F. Solid State Technol. 1986, 29(6), 133. 185. Bassons, Ε.; Pepper, G. IBM Research Report RC 9480, 1982. 186. Franeo, J. R.; Havas, J. R.; Levine, Η. Α.; U. S. Patent 3,873,361, 1973. 187. Hatzakis, M . ; Hofer, D.; Chang, T. H . J. Vac. Sci. Technol. 1984, 16(6), 1631. 188. Lin, B. J. Proc. SPIE Conf 1979, 174, 114. 189. Hatzakis, M . ; Paraszczak, J . ; Shaw, J. Int. Conf. Microlith.; Microcircuit Eng. 1981, 81, 386. 190. Suzuki, M . ; Saigo, K.; Golan, H . ; Ohnishi, Y. J. Electrochem. Soc. 1983, 130, 1962. 191. MacDonald, S. Α.; Steinmann, A. S.; Ito, H . ; Hatzakis, M . ; Lee, W.; Hiraoka H.; Willson, C. G. Int. Symp. Electron, Ion, Photon Beams Los Angeles, CA, May 1983. 192. Shaw, J. M . ; Hatzakis, M . ; Paraszczak, J.; Liutkus, J . ; Babich, E . Proc. SPE Regional Tech. Conf. Photopolym., Ellenville, NY, Nov. 1982, p 285. 193. Tanaka, Α.; Monta, M . ; Imamura, Α.; Tamamura, T.; Koyure, Ο. Polym. Prepr. 1984, 25, 309. 194. Saigo, K.; Ohnishi, Y.; Suzuki, M . ; Goka, H . Int. Symp. Electron, Ion, Photon Beams Tarrytown, NY, May 1984. 195. Hofer, D. C.; Miller, R. D . ; Willson, C. G. Proc. SPIE Conf., Adv. Resist Technol. 1984, 469, 16. 196. Miller, R. D.; Hofer, D. C.; Willson, C. G. Polym. Prepr. 1984, 25, 307. 197. Wilkins, C. W., Jr.; Reichmanis, E . ; Wolf, T. M . ; Smith, B. C. J. Vac. Sci. Technol. 1985, 3, 306. 198. Reichmanis, E . ; Wilkins, C. W., Jr. In Polymer Materials for Electronic Ap­ plications; Feit, E . D . ; Wilkins, C. W., Jr., Eds.; ACS Symposium Series 184, American Chemical Society: Washington, D C ; 1982, p 29. 199. Nate, K.; Sugiyama, H . ; Inoue, T. Electrochem. Soc. Ext. Abs. 94:2, New Orleans, LA, Abstract 530 (Oct. 1984). 200. Gozda, A. S.; Craighead, H . G . ; Bowden, M . J. J. Electrochem. Soc. 1985, 132, 2809. 201. Saotome, Y.; Goken, H . ; Saigo, K.; Suzuki, M . ; Ohnishi, Y. J. Electrochem. Soc. 1985, 132, 909. 202. Bowden, M . J.; Gazdz, A. S.; Klausner, C.; McGrath, J. G . ; Smith, S.; Proc. Polym. Mater. Sci. Eng. 1986, 55, 298. 203. Hayashi, Ueno, T.; Shiraishi, H . ; Nishida, T.; Toriumi, M . ; Nonogaki, S.; Proc. Polym. Mater. Sci. Eng. 1986, 55, 611.

Downloaded by UNIV OF CALIFORNIA SAN DIEGO on March 16, 2016 | http://pubs.acs.org Publication Date: May 5, 1989 | doi: 10.1021/ba-1989-0221.ch007

177. 178. 179. 180.

RECEIVED for review December 30, 1987. A C C E P T E D revised manuscript March 15, 1989.

Hess and Jensen; Microelectronics Processing Advances in Chemistry; American Chemical Society: Washington, DC, 1989.